DSP48E1详解-3

本文详细介绍了DSP48E1模块的输入端口,包括A、B、C和D输入,以及其功能和特性。A数据端口30位,B数据端口18位,C数据端口48位,D数据端口25位。此外,还讨论了OPMODE、ALUMODE和CARRYINSEL等控制端口,支持动态更改内部数据路径配置,实现加、减、逻辑运算等功能。
摘要由CSDN通过智能技术生成

DSP48E1属性

输入端口

A\B\C\D输入端口

A、B、C、CARRYIN、CARRYINSEL、OPMODE、BCIN、PCIN、ACIN、ALUMODE、CARRYCASCIN、MULTSIGNIN以及相应的时钟启用输入和复位输入都是保留端口。D和INMODE端口对于DSP48E1片是唯一的。本节详细描述DSP48E1片的输入端口。图2-6中突出显示了DSP48E1片的输入端口。

DSP48E1片输入数据端口支持许多通用的DSP和数学算法。DSP48E1片有四个直接输入数据端口,分别为A、B、C和D。

A数据端口宽30位,B数据端口宽18位,C数据端口宽48位,预加器D数据端口宽25位。

25位A (A[24:0])和18位B端口为25位乘18位提供输入数据,这是2的补数乘法器。通过独立的C端口,每个DSP48E1片能够进行Multiply-Add, Multiply-Subtract, and Multiply-Round operations(多路加、多路减和多路循环操作)。

连接的A和B端口(A:B)绕过乘数并输入X多路复用器。30位的A输入端口形成A:B连接的数据路径的上30位,18位的B输入端口形成A:B数据路径的下18位。A:B数据路径和C输入端口使每个DSP48E1片实现一个完整的48位加法器/减法器,前提是

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值