FPGA合成编码

1 决策树

于FPGA推断使用if else报表及case达到。

a) if else 是有特权的,类似于优先编码(当两个条件同一时候成立,仅推断条件靠前的成立),所以当有特权条件时应该採用if else结构,对于并行的if条件语句其特权顺序恰好与if else 相反。

b) case 语句经常(不总是)用在全部条件互不相容的条件下进行的。

可是默认条件下case依旧是有特权的。编译器会选择附加逻辑产生特权逻辑。假设我们确定条件是相互排斥的,那么我们能够利用编译伪指令‘parallel_case’来实现全然并行结构。

c)  对于case默认条件下当全部条件均不满足则保持当前值,我们也能够採用伪指令full_case来取消默认条件。

2 利用堵塞赋值能够模拟组合逻辑。非堵塞赋值能够模拟时序逻辑。可是不能把堵塞和非堵塞混合到一个always模块中。

3 for loop能够用来压缩某些功能一致的语句。

4 Idef应该为全局定义利用。

5 參数应该为局部利用,从模块到模块转换。

6 命名的参数传递是不是一个参数或位置较好deparameter.

版权声明:本文博主原创文章,博客,未经同意不得转载。

转载于:https://www.cnblogs.com/lcchuguo/p/4825242.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值