VHDL: found ‘0‘ definitions of operator “+“, cannot determine exact overloaded...问题解决

一、问题

 使用Xilinx ISE14.7编写VHDL代码时,出现以下问题:

found '0' definitions of operator "+", 
cannot determine exact overloaded matching definition for "+"

翻译过来就是:找到运算符“+”的“0”定义,无法确定“+”的精确重载匹配定义。可能出错在某个’+‘运算,ISE无法找到该’+'左右两边的运算定义。
 经过代码注释等排错手段,逐步锁定问题出在一句代码:

q <= q + ‘1’;

q的定义为:

signal q: std_logic_vector(3 downto 0);

二、解决

 根据代码的错误,只需要加入

use ieee.std_logic_unsigned.all;

就可以解决问题。


原因是:在IEEE设计库的std_logic_unsigned程序包中,声明了很多“+”运算符的重载函数。
  而问题就出在缺少“+”运算符的重载函数,加入库后就完美解决了。

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

暗夜无风

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值