编译报错:Please check the type of the variable ‘p_sequencer’
可能的原因
- 这很可能是由于未导入包含两个类的声明的包而导致的。
class vsqr extends uvm_sequencer;
spi_sequencer p_spi_sqr;
apb_sequencer p_apb_sqr;
`uvm_component_utils(vsqr)// 类的声明
function new(string name, uvm_component parent);
super.new(name, parent);
endfunction
endclass
类的导入使用如下函数
`uvm_component_utils
2. 类的导入无问题,请检查文件的编译顺序。