自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(41)
  • 资源 (3)
  • 收藏
  • 关注

原创 正点原子imx6ull,linux编译报错。

因为和正点原子使用的ubuntu不同,所有/usr/bin/ld: scripts/dtc/dtc-parser.tab.o:(.bss+0x50): multiple definition of `yylloc'; scripts/dtc/dtc-lexer.lex.o:(.bss+0x0): first defined here,这个报错。 在scripts/dtc/中,更改dtc-parser.tab.c_shipped中的YYLTYPE yylloc;变为 externYYLTYPE yyllo

2024-07-21 12:22:47 71

原创 vscode gitee问题

user]name = xxx。

2024-07-11 11:43:53 268

原创 virtualbox ubuntu使用usb网口连接开发板

把usb网口连到电脑上,给这个网口设置一个ip地址:192.168.2.1 virtualbox设置中设置桥接模式,其中名称选择windows设备管理器中的网卡名字。 在ubuntu中设置桥接模式虚拟网卡的ip地址:192.168.2.2 设置imx6ull开发板的uboot里面的ip地址:192.168.2.3。serverip:192.168.2.2 可以使用imx6ull开发板uboot的tftp和nfs了

2024-07-01 21:20:25 77

原创 ubuntu 23 连接正点imx6ull的uboot网络设置(nfs和tftp)

sudo apt-get install linux-image-5.19.0-41-generic是安装内核命令,由于镜像源不足所以报告找不到包的错误。dpkg --get-selections | grep linux-image查看已经安装的内核版本,说明linux可以安装内核,但不需要启动。linux-image-5.19.0-41-generic后,更改grub配置,sudo nano /etc/default/grub。rpcinfo -p | fgrep nfs 查看UDP是否打开。

2024-06-30 15:08:01 898

原创 virtualbox(7.0) ubuntu(22) 和win11共享文件夹

执行命令将用户加入vboxsf组。重启ubuntu即可。

2024-06-27 16:35:30 343

原创 在vscode 中ssh连接虚拟ubuntu,不能使用code打开文件

在ubuntu中运行:source ~/.bashrc。可以在vscode终端中ssh使用code命令了。

2024-06-26 15:43:12 325

原创 ADpcb画线时打过孔方式。画线时引线有白色轮廓线处理。

当pcb画线时,按2打过孔但是不换层,按L是换层。点击红框的内容可以修改样式。

2024-06-18 14:05:00 322

原创 vscode中settings.json,c_cpp_properties.json的位置及作用,CLOCK_MONOTONIC报警。

【代码】vscode中settings.json,c_cpp_properties.json的位置及作用。

2024-05-19 18:22:14 512

原创 win中的vscode利用ssh插件,在同一台电脑的virtualbox虚拟出来的ubuntu中编译,调试设置方法

(2)设置SSH开机自启动。(5)下载gcc编译器。(4)检查SSH状态。

2024-05-19 08:18:24 272

原创 vscode上编辑vba

安装xvba插件 更换vscode的工作目录 启动扩展服务器 在config.json中添加目标工作簿的名称 加载excel文件(必须带宏的xlsm) 这个扩展就会自动提取出Excel文件中的代码 可以在vscode上点击向右三角,在excel文件中运行宏,excel文件名必须英文。

2024-03-27 11:27:00 1171

原创 c++中2种返回变量类型名称的方法

必须使用#include <typeinfo>std::string real_name = boost::core::demangle(typeid(*(*a)).name());

2024-03-25 16:39:18 219

原创 vscode下c++的boost库安装

Boost Downloadshttps://www.boost.org/users/download/下载最新的库文件。 在shell中,使用命令bootstrap.bat gcc生成b2.exe文件。 然后是.\b2.exe toolset=gcc生成库文件,在stage\lib文件夹下 把stage\lib文件夹中的库文件拷贝到mingw64\x86_64-w64-mingw32\lib文件夹下。 boost_1_84_0\boost文件夹下的所有内容拷贝到mingw64\x86_64-w64

2024-03-25 16:11:59 781

原创 vscode 编译多个c++文件

在tasks.json中,"args": [ //"${file}", "${fileDirname}\\*.cpp",]这是更改vscode的任务json,为了仿真launch正确。 在settings.json中,更改code-runner插件设置,改"code-runner.executorMap": {"cpp": "cd $dir && g++ $fileName -fexec-charset=GBK。。。。}为"code-runner.executorMap":

2024-03-23 19:25:50 374

原创 vscode中使用tabnine

删除:C:\Users\Administrator\AppData\Roaming\Codota\TabNine\com.tabnine.data\install_time。插件市场直接安装 Tabnine。

2024-03-15 09:47:29 663

原创 vscode 不能提示uint8_t解决

1. 是因为c/c++插件的智能感知没有正确配置。需要安装正确的compiler,然后从中选择。

2024-03-05 09:59:32 380

原创 stm32 i2c mem

1.read写的有问题吧。

2024-03-04 13:34:49 154

原创 HAL_I2C_Mem_Write_DMA问题

写完eeprom后再读取用HAL_I2C_Mem_Write_DMA,会出现问题,后来在后面加上这个while (hi2c1.State != HAL_I2C_STATE_READY)貌似可以解决,但是话说回来,加上这个while (hi2c1.State != HAL_I2C_STATE_READY)就是阻塞方式了。

2024-03-02 10:38:48 387 1

原创 vscode ctrl+左键不能转到定义修理

找到C_Cpp: Intelli Sense Engine,改成disable,再改成默认就可以了。

2024-03-01 14:35:23 469

原创 使用的vscode插件

Bracket Pair Colorization Toggler括号按颜色配对 change-case变量按驼峰起名,使用方法:ctrl+shift+p,输入change case CodeGeeX: AI Code AutoComplete,自动补全代码,用tab键完成。 Code Runner运行各种电脑代码 Cortex-Debug,stm32仿真插件。 Embedded IDE可以直接导入stm32cubemx生成的keil工程。 Embedded Tools/RTOS Viewsvs

2024-02-28 15:01:16 263

原创 freertos+systemview移植

2.配置修改(1)在main.c 中添加头文件#include "SEGGER_SYSVIEW.h";(2)在main函数开始处添加:SEGGER_SYSVIEW_Conf(),初始化SystemView;(3)在FreeRTOS.h中添加头文件#include "SEGGER_SYSVIEW_FreeRTOS.h";

2024-02-28 09:49:25 321

原创 freertos+tracealyzer

在硬件初始化之后,任务开始之前加上vTraceEnable(TRC_START_AWAIT_HOST);FreeRTOSConfig.h中一定要在。用AC5编译下载到stm32。tracealyzer设置。

2024-02-27 13:09:22 504

原创 Cannot link object __xxx.o as its attributes are incompatible with the image attributes.

vscode中报错,stm32编译AC5,Cannot link object __xxx.o as its attributes are incompatible with the image attributes.c_cpp_properties文件中添加"cStandard": "c99","cppStandard": "c++11",因为如果不这样写,vscode自动把c99变成c17。

2024-02-26 10:18:18 397 1

原创 altium designer 关键问题

PCB规则(rules)可以支持编程过滤的。就是根据条件不同规则不同。 tented表示蒙上帐篷,就是是否开窗。 relief表示热连接。 禁止铺铜,布线:画一个形状并选中,tools->convert->create seleted primitives to keepouts 像小锁链的图标表示连接2个属性,连接上就是改变一个,其他的跟着一起改变;没有连接上,就是改变一个,其他的不变。这是连接上,这是没有连接上。

2024-02-23 09:30:50 249 1

原创 vscode 快捷键

Ctrl + X:剪切行(如果没有选中行,默认剪切当前光标所在行)Ctrl + C:复制行(如果没有选中行,默认复制当前光标所在行)Ctrl + V:粘贴行(在光标所在行的后面)Ctrl + Z:撤销Ctrl + Y:重做Ctrl + Shift + Z:重做(针对某些系统)Ctrl + Shift + K:删除整行Ctrl + Enter:在当前行下插入一行Ctrl + Shift + Enter:在当前行上插入一行Alt + ↑ 或 Alt + ↓:将当前行上移或下移。

2024-02-22 14:10:04 1392 1

原创 vscode中调试c++不能再内置终端显示调试结果

2、也可以在launch.json中加入:"externalConsole": false,

2024-02-06 11:37:24 344 1

原创 ad快捷键

3.转出Gerber Files :文件--制造输出--Gerber Files-- General设置(默认)--layer设置:用于生成Gerber文件的层面(如下步骤操作)--Drill Drawing(默认)--Apertures(光圈)和Advanced(默认)--确定--进行第一次输出(生成的*.Cam可不用保存)使用Altium Designer17版本,使用快捷键Ctrl+M测量尺寸之后,黄色的尺寸字体删不掉的处理办法:可以删掉某一条边界线,然后字体就消失了,随后在后退一下,即可。

2024-02-02 17:26:09 2043

原创 相同网络的via不和polygon直接连接

设置的问题:

2024-01-30 17:55:13 101 1

原创 指定intel fpga PLL位置

会在qsf文件中产生如下语句:set_location_assignment PLL_1 -to "pll_ip:pll_ip_inst"

2024-01-26 11:10:00 105 1

原创 ad使用方法

画封装要批量且等增量更改一串引脚的designator号,把要更改的引脚全部选上,在properties的designator中点击...,在fomula中输入!+1这是pascal语言。

2024-01-19 14:31:25 340 1

原创 intel fpga需要的flash容量评估

下面是解释:EPCS是串行存贮器,FPGA不能直接从EPCS中执行程序,它实际上是执行EPCS控制器的片内ROM的代码(即bootloader),把EPCS中程序的搬到RAM中执行。FPGA的配置数据从EPCS偏移为0的地址开始存放,紧挨着配置数据后面是一个32位的整数,指示程序段的长度,接着是一个32位的地址,指示程序执行时该程序段的地址,我们把这个长度和地址一起称为"程序记录","程序记录"随后就是程序段映象。1.使用的fpga是EP4CE15。这就是最后的压缩过的容量,epcs4没有问题了。

2024-01-16 17:18:40 480 1

原创 逗号表达式

今天懂了逗号表达式,有意思。

2024-01-12 10:06:54 343 1

原创 teroshdl使用(verilog)

在teroshdl中,sources中在需要的文件上打对勾,设置top-level。在runs中按箭头调出来modelsim仿真。1、语法检查:使用modelsim。a.把medelsim的环境变量设置好。

2024-01-11 16:05:12 1131 2

原创 verilog之testbench

信号名 这种的方式引用例化模块内部的信号。估计可以继续向下延时,比如:例化名。可以用force命令强制一个信号为某个特定值。信号名 这种方式。猜出来的结果,还不错。

2024-01-10 14:21:15 432

原创 vscode tasks.json的存放位置

通常情况下,vscode会优先运行roaming文件夹中的tasks.json文件,如果没有找到该文件,才会尝试运行工作区中的tasks.json文件。

2023-12-26 22:01:02 1062 1

原创 vscode eide从空项目建立stm32的armclang编译的项目

一般eide使用MDK的项目,是从cubemx中生成MDK项目,然后用eide打开就行了。 现在是从空的eide项目中建立编译环境。 从cubemx中,分别生成mdk和makefile项目。安装makefile文件添加各种空的eide项目配置。编译器可以选则AC6。 注意,从makefile生成的启动(.s)文件是不完全的,缺少reset位置的设置。所以要从生成的mdk方式中把那个启动(.s)文件拷贝出来,替换工作目录中的启动文件。sct文件也可以从MDK方式生成中指定。省的自己去找了。 用ei

2023-12-25 12:10:28 468 1

原创 vscode increment selection使用

原文链接:https://blog.csdn.net/weixin_48785875/article/details/123825164。版权声明:本文为CSDN博主「是小丸子呀」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。2.3 递减数字同理,区别在于从下往上选中(光标定位第10行,shift+alt+鼠标左键–选中第一行即可递减)shift+alt+鼠标左键–选中多行。shift+alt+鼠标左键–选中多行。输入任意数字,shift+→键选中数字。

2023-12-22 15:26:45 615

原创 stm32使用jlink烧录仿真,freertos不能用complier6编译,cortex-debug仿真中xrtos使用,使用embedded tools

3、cubemx中找到库的位置。把\Middlewares\Third_Party\FreeRTOS\Source\portable\RVDS\RVDS\ARM_CM4F中的文件用,\GCC\ARM_CM4FError: L6406E: No space in execution regions with .ANY selector matching中的文件替换。

2023-12-18 09:51:43 526

原创 stm32中HAL_UART_Transmit_DMA,串口只能发送一次。

1、HAL_UART_Transmit_DMA中调用HAL_DMA_Start_IT,在HAL_DMA_Start_IT中虽然开启了三个中断:hdma->Instance->CR |= DMA_IT_TC | DMA_IT_TE | DMA_IT_DME,但是没有开DMA总中断,所以这3个不起作用;如果开启DMA总中断,完成DMA后会调用下图的UART_DMATransmitCplt函数。为此,如果不想亲自设置,要想在使用串口+DMA发送,应该在cubemx中开启串口和DMA中断。

2023-12-15 14:57:56 2304

原创 stm32cubemx+freertos消息队列传数组

在这里有一个sizeof(type),这里的type就是cubemx中Item Size中需要填写的内容。开始不知道怎样传数组,在cubemx中item size只能写类型名,实际上是可以写要传的数组名的。这个地方规定了队列项的大小。

2023-12-15 11:02:34 630

原创 vscode+stm32+embedded ide+cortex debug+gcc

vscode stm32配置。

2023-12-12 13:55:05 447

勇敢的芯伴你玩转Altera FPGA 吴厚航 这是这本书的第二部分

本书使用Altera公司的Cyclone Ⅳ FPGA器件,由浅入深地引领读者从板级设计、基础入门实例、FPGA片内资源应用实例和综合进阶实例等方面,玩转FPGA逻辑设计。本书基于特定的FPGA实验平台,既有足够的理论知识深度作支撑,也有丰富的例程供实践学习,并且穿插了笔者在多年FPGA学习和开发过程中所积累的经验和技巧。 无论对于希望快速掌握Verilog语言进行FPGA开发的初学者,还是希望快速掌握基于Altera Cyclone Ⅳ FPGA进行开发的设计者,本书都是很好的选择。

2019-02-13

勇敢的芯伴你玩转Altera FPGA-吴厚航

本书使用Altera公司的Cyclone Ⅳ FPGA器件,由浅入深地引领读者从板级设计、基础入门实例、FPGA片内资源应用实例和综合进阶实例等方面,玩转FPGA逻辑设计。本书基于特定的FPGA实验平台,既有足够的理论知识深度作支撑,也有丰富的例程供实践学习,并且穿插了笔者在多年FPGA学习和开发过程中所积累的经验和技巧。 无论对于希望快速掌握Verilog语言进行FPGA开发的初学者,还是希望快速掌握基于Altera Cyclone Ⅳ FPGA进行开发的设计者,本书都是很好的选择。

2019-02-13

机器人学 机器视觉与控制 matlab 算法基础

完整版,正在学习,欢迎一起学习。

2018-07-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除