【三帧差,边缘检测,FPGA】基于FPGA的三帧差+边缘检测的Verilog实现

160 篇文章 541 订阅 ¥79.90 ¥99.00
263 篇文章 433 订阅
本文档介绍了在FPGA中实现三帧差算法和边缘检测(Sobel算子)的方法。首先,详细阐述了3*3中值滤波器的Verilog设计,包括其基本结构和在FPGA中的应用。接着,简要说明了边缘检测模块的设计,利用Sobel算子进行图像边缘检测。最后,提到了三帧差算法的测试方法和核心代码的片段。
摘要由CSDN通过智能技术生成

1.软件版本

ISE14.7,modelsim10.1c

2.本算法理论知识

       由于在FPGA中,直接做视频的模拟比较麻烦,而且你要求仿真来实现,所以这里我们仅是使用三帧图像,并将这三个图像事先保存到存储器中,然后进行滤波,边缘检测以及三帧差算法的处理。

        下面我们对这三个模块分别进行介绍:

  ·中值滤波

        对图像进行3*3的滤波,这里中值滤波的本质就是对邻近区域内3*3区域计算均值,这里,我们使用自己编写的程序(不使用MATLAB自带的中值滤波函数)来仿真。

        仿真结果如下所示:

  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值