基于FPGA的电子万年历设计

211 篇文章 51 订阅
3 篇文章 4 订阅

1.软件版本

quartusii12.1

2.系统描述

系统的整个结构框图:

 然后,设置控制输入有5个脚,分析功能如下所示:

i_Function_Controller=0;显示年月日

i_sel:选择需要调整的某位数字。

i_set:计数器,调整需要调整的位置的数字。

具体调整的时候,首先选择i_sel,按键按一下,需要调整的位置会移动一次,然后移动到需要调整的位置上,然后松开i_sel,然后按下i_set,调整显示的数字。

i_run:不使用

i_Function_Controller=1;显示时间,小时,分,秒

i_sel:

i_set:

i_run:

正常工作的时候,上面三个设置分别输入0,0,1

当需要调整时间的时候,设置i_run=0,然后设置i_sel,选择对应的需要调整的数字位,然后设置i_set,设置具体的值,其中秒位置,如果设置i_set。那么秒直接清零。

注意,因为时间部分计数到24小时的时候,年月日才被加1,所以在年月日这里i_run不使用,他是靠日期模块来驱动的。

i_Function_Controller=2;闹钟设置模块

i_sel:

i_set:

i_run:不使用,

通过设置i_sel,选择需要被设置的数字位,然后通过i_set进行闹钟的设置。

i_Function_Controller=3;秒表的控制

i_sel:清零

i_set:暂停

i_run:开始秒表计时

整体的结构如下所示:

3.部分源码

`timescale 1ns / 1ps

module tops(
            i_clk,
				i_rst,
				i_Function_Controller,
				i_sel,
				i_set,
	         i_run,
				o_Num1,
				o_Num2,
				o_Num3,
				o_Num4,
				o_Num5,
				o_Num6,
				o_Num7,
				o_Num8,
				o_digit,
				o_en
	        );

input      i_clk;
input      i_rst;
input[1:0] i_Function_Controller;
input      i_sel;
input      i_set;
input      i_run;
output[3:0]o_Num1;
output[3:0]o_Num2;
output[3:0]o_Num3;
output[3:0]o_Num4;	
output[3:0]o_Num5;
output[3:0]o_Num6;
output[3:0]o_Num7;
output[3:0]o_Num8;	
output[7:0]o_digit;
output[7:0]o_en;


wire Clock_mb;
wire Clock;

clock_div clock_div_u(
    .i_clk    (i_clk), 
    .i_rst    (i_rst), 
    .i_sel    (1'b0), 
    .o_clock1 (Clock_mb), 
    .o_clock2 (Clock)
    );



//======================================================================
wire CLK_Year;
wire[3:0]ym_Num1;
wire[3:0]ym_Num2;
wire[3:0]ym_Num3;
wire[3:0]ym_Num4;	
wire[3:0]ym_Num5;
wire[3:0]ym_Num6;
wire[3:0]ym_Num7;
wire[3:0]ym_Num8;
year_month year_month_u(
							   .i_clk  (CLK_Year), 
							   .i_rst  (i_rst), 
							   .i_sel  (i_sel), 
							   .i_set  (i_set), 
							   .o_Num1 (ym_Num1), 
							   .o_Num2 (ym_Num2), 
							   .o_Num3 (ym_Num3), 
							   .o_Num4 (ym_Num4), 
							   .o_Num5 (ym_Num5), 
							   .o_Num6 (ym_Num6), 
							   .o_Num7 (ym_Num7), 
							   .o_Num8 (ym_Num8)
							 );


//======================================================================
wire[3:0]tm_Num1;
wire[3:0]tm_Num2;
wire[3:0]tm_Num3;
wire[3:0]tm_Num4;	
wire[3:0]tm_Num5;
wire[3:0]tm_Num6;
wire[3:0]tm_Num7;
wire[3:0]tm_Num8;
times times_u(
    .i_clk      (Clock), 
    .i_rst      (i_rst), 
    .i_run      (i_run), 
    .i_sel      (i_sel), 
    .i_set      (i_set), 
    .o_Num1     (tm_Num1), 
    .o_Num2     (tm_Num2), 
    .o_Num3     (tm_Num3), 
    .o_Num4     (tm_Num4), 
    .o_Num5     (tm_Num5), 
    .o_Num6     (tm_Num6), 
    .o_CLK_Year (CLK_Year), 
    .CNT        ()
    );
	 
assign tm_Num7 = 4'd0;
assign tm_Num8 = 4'd0;


//======================================================================
wire[3:0]be_Num1;
wire[3:0]be_Num2;
wire[3:0]be_Num3;
wire[3:0]be_Num4;	
wire[3:0]be_Num5;
wire[3:0]be_Num6;
wire[3:0]be_Num7;
wire[3:0]be_Num8;

beer beer_u(
    .i_clk  (Clock), 
    .i_rst  (i_rst), 
    .i_sel  (i_sel), 
    .i_set  (i_set), 
    .i_N1   (tm_Num3), 
    .i_N2   (tm_Num4), 
    .i_N3   (tm_Num5), 
    .i_N4   (tm_Num6), 
    .o_N1   (be_Num3), 
    .o_N2   (be_Num4), 
    .o_N3   (be_Num5), 
    .o_N4   (be_Num6), 
    .o_bear (o_bear)
    );
assign be_Num1 = 4'd0;
assign be_Num2 = 4'd0;
assign be_Num7 = 4'd0;
assign be_Num8 = 4'd0;




//======================================================================
wire[3:0]mb_Num1;
wire[3:0]mb_Num2;
wire[3:0]mb_Num3;
wire[3:0]mb_Num4;	
wire[3:0]mb_Num5;
wire[3:0]mb_Num6;
wire[3:0]mb_Num7;
wire[3:0]mb_Num8;
miaobiao miaobiao_u(
    .i_clk   (Clock_mb), 
    .i_rst   (i_rst), 
    .i_run   (i_run), 
    .i_pause (i_set), 
    .i_clear (i_sel), 
    .o_Num1  (mb_Num1), 
    .o_Num2  (mb_Num2), 
    .o_Num3  (mb_Num3), 
    .o_Num4  (mb_Num4), 
    .o_Num5  (mb_Num5), 
    .o_Num6  (mb_Num6)
    );
assign mb_Num7 = 4'd0;
assign mb_Num8 = 4'd0;



//=====================================================================
reg[3:0]o_Num1;
reg[3:0]o_Num2;
reg[3:0]o_Num3;
reg[3:0]o_Num4;	
reg[3:0]o_Num5;
reg[3:0]o_Num6;
reg[3:0]o_Num7;
reg[3:0]o_Num8;	
always @(posedge i_clk or posedge i_rst)
begin
     if(i_rst)
	  begin
	  o_Num1 <= 4'd0;
	  o_Num2 <= 4'd0;
	  o_Num3 <= 4'd0;
	  o_Num4 <= 4'd0;
	  o_Num5 <= 4'd0;
	  o_Num6 <= 4'd0;
	  o_Num7 <= 4'd0;
	  o_Num8 <= 4'd0;
	  end
else begin
          if(i_Function_Controller == 2'b00)
			 begin
	       o_Num1 <= ym_Num1;
	       o_Num2 <= ym_Num2;
	       o_Num3 <= ym_Num3;
	       o_Num4 <= ym_Num4;
	       o_Num5 <= ym_Num5;
	       o_Num6 <= ym_Num6;
	       o_Num7 <= ym_Num7;
	       o_Num8 <= ym_Num8;
			 end
          if(i_Function_Controller == 2'b01)
			 begin
	       o_Num1 <= tm_Num1;
	       o_Num2 <= tm_Num2;
	       o_Num3 <= tm_Num3;
	       o_Num4 <= tm_Num4;
	       o_Num5 <= tm_Num5;
	       o_Num6 <= tm_Num6;
	       o_Num7 <= tm_Num7;
	       o_Num8 <= tm_Num8;			 
			 end
          if(i_Function_Controller == 2'b10)
			 begin
	       o_Num1 <= be_Num1;
	       o_Num2 <= be_Num2;
	       o_Num3 <= be_Num3;
	       o_Num4 <= be_Num4;
	       o_Num5 <= be_Num5;
	       o_Num6 <= be_Num6;
	       o_Num7 <= be_Num7;
	       o_Num8 <= be_Num8;				 
			 end		
          if(i_Function_Controller == 2'b11)
			 begin
	       o_Num1 <= mb_Num1;
	       o_Num2 <= mb_Num2;
	       o_Num3 <= mb_Num3;
	       o_Num4 <= mb_Num4;
	       o_Num5 <= mb_Num5;
	       o_Num6 <= mb_Num6;
	       o_Num7 <= mb_Num7;
	       o_Num8 <= mb_Num8;				 
			 end				 
     end
end



======================================================================
//digit8 digit8_u(
//    .i_clk  (i_clk), 
//    .i_rst  (i_rst), 
//    .i_num1s (o_num1), 
//    .i_num2s (o_num2), 
//    .i_num3s (o_num3), 
//    .i_num4s (o_num4), 
//    .i_num5s (o_num5), 
//    .i_num6s (o_num6), 
//    .i_num7s (o_num7), 
//    .i_num8s (o_num8), 
//    .o_digit(o_digit), 
//    .o_en   (o_en)
//    );

	 
endmodule

4.仿真结论

第一个模块:秒表模块:

        这个模块是一个秒表计数器,技术最大到60分钟,最小为0.01秒。这个模块可以暂停,计时以及清零三个功能。

        这个模块的仿真结果如下所示:

当输入的i_run信号为1的时候,秒表开始运行,从上面我们可以看到最高位Num6的计数结果,其余几位由于速度很快,所以被缩小了,看不清楚,当pause为1的时候,秒表停留在14:22:63,即14分22秒63.然后最后clear为高的时候,直接清零。

第二个模块:时间模块:

         这个模块主要是一个以秒为计数单位的计数器,秒计数满60,分累加1,分计数满60的时候,小时累加1,小时计数满24的时候,产生一个时钟信号,用来确定日期加1。这个模块的仿真结果如下所示:

第三个模块:年月日模块:

         这个模块主要是一个计数器,当计数器计数到24小时的时候,年月日模块计数器会自动加1,表示日期往前累积1日。并系统能够区分大小月和特殊的2月,以及年份的闰年或者非闰年。

        这里我们分两个小模块来设计,一个是日月模块,一个是年模块,当计数器计数到12月31日的时候,那么年模块则进一。即年份增加一。

       然后日月模块需要进行进行一个大的循环,技术满4年,则2月需要变为29天,否则为28天。

       这里,月份的仿真效果如下所示:

从上面的仿真结果可知,当月份技术到12:31日的时候,会产生一个技术的时钟高电平,这个电平用来确定年份的计数器。

         关于年份的计数。就是当月份慢12-31的时候,年份计数器加1.这个模块比较简单,具体仿真效果如下所示:

闹钟模块:

         这个模块,通过键盘输入,设置需要闹钟的时间,然后当系统的时钟模块的分和小时和闹钟模块的预设值匹配的时候,该模块会驱动蜂鸣器发出闹钟声音。

        闹钟模块,即通过设置闹钟的具体时间,然后后输入的时间进行对比,如果一直,那么输出一个高电平信号给蜂鸣器。发出声音。

数码管输出模块:

         根据各个模块的数值,分别驱动8个数码管,进行数据的显示。这个模块只能在实际测试的时候使用,所以这里不给出仿真模块。

全局模块:

       这个模块是对各个子模块的调用。

分频模块:

        这个模块主要将系统的时钟进行分频,得到和实际时间相关的时钟频率,具体流程为通过设置一个是计数器,当计数器计数到一个预设值得时候,新的时钟频率输出1,其余时间输出0。通过这个过程,实现时钟的分频。

A02-46

  • 9
    点赞
  • 80
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
### 回答1: 万年历的Quartus代码主要是实现了一个可以在FPGA上运行的万年历系统。该代码包含了时钟分频器、BCD数码管、按键输入和状态机控制等模块。 其中,时钟分频器模块会将输入的时钟信号分频后输出给BCD数码管,使其可以正常显示日期和时间。按键输入模块则负责响应用户的设置操作,例如设置日历、选择显示格式等。状态机控制模块则负责控制整个系统的逻辑流程,使其可以实现正确的操作。 在代码实现过程中,需要按照功能分模块,将各个模块连接起来,确保整个系统的正确运行。同时,在调试过程中需要不断的进行仿真和实验,以保证代码的正确性和稳定性。 总之,万年历的Quartus代码是一项涉及多个模块、功能较为复杂的系统设计任务,需要有一定的硬件设计和编程经验才能完成。 ### 回答2: 万年历quartus代码是一种使用FPGA芯片来实现日历功能的代码。它可以在FPGA芯片上构建一个完整的日历应用,包括年份、月份、日期、星期、农历等信息的显示和计算。通过这个应用程序,用户可以查看任何日期的日历信息。 该代码主要由FPGA的Verilog语言编写。它使用FPGA的时钟驱动电路,实现对系统时钟的采样和分频。这个时钟驱动电路可以通过改变时钟分频系数来实现不同的世界标准时间,方便用户在不同时间区域中使用该应用程序。 另外,该代码还包括了一些优化算法来实现日历的计算。其中,最重要的是农历算法和月相计算算法。农历算法是根据太阳和月亮运行规律,计算出农历每一年的各个月份及其节气。月相计算算法是根据日月亮的位置关系,计算出月相、朔望及月亮运行周期等信息。 最终,该代码可以通过Quartus软件编译成二进制文件,并烧录到FPGA芯片中。用户可以通过FPGA芯片上的按键或其他输入接口来操作日历应用程序,使其显示和计算不同日期的日历信息。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值