【网络数据传输】基于FPGA的百兆网/兆网千UDP数据包收发系统开发,PC到FPGA

160 篇文章 539 订阅 ¥79.90 ¥99.00
263 篇文章 433 订阅
67 篇文章 16 订阅
本文介绍了基于FPGA的百兆/千兆UDP数据包收发系统的开发过程,包括软件版本Vivado 2019.2的使用、系统原理、PC与FPGA的IP和MAC地址配置、源码核心部分以及测试结果展示。通过测试,验证了FPGA能正确接收并显示从PC发送的数据,确保了数据一致性。
摘要由CSDN通过智能技术生成

1.软件版本

vivado2019.2

2.系统原理

先将电脑的IP地址设置为: 192.168.0.3。如下所示:

修改后,cmd中运行如下指令

 可以查看PC的IP和MAC

然后到windows>system

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值