FPGA/数字IC手撕代码3——通过纯verilog实现简单的ROM

深度学习/机器视觉/数字IC/FPGA/算法手撕代码目录总汇

目录

通过纯verilog实现简单的ROM

1.程序

2.测试

3.仿真结果

4.分析


通过纯verilog实现简单的ROM

      ROM (Read-Only Memory) 是一种非易失性存储器,通常用于存储固定数据或程序。在 Verilog 中,可以通过使用 case 语句或 if-else 语句来实现简单的 ROM。

      ROM 的基本原理是,当接收到一个地址信号时,ROM 会返回该地址对应的数据。ROM 的数据是预先存储在 ROM 中的,因此 ROM 可以被视为一种查找表。

        只读存储器(Read-Only Memory,ROM)以非破坏性读出方式工作,只能读出无法写入信息。信息一旦写入后就固定下来,即使切断电源,信息也不会丢失,所以又称为固定存储器。ROM所存数据通常是装入整机前写入的,整机工作过程中只能读出,不像随机存储器能快速方便地改写存储内容。ROM所存数据稳定 ,断电后所存数据也不会改变,并且结构较简单&

  • 3
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值