verilog脉冲产生高电平

这篇博客介绍了如何使用Verilog语言设计一个模块,该模块接收一个脉冲输入,并产生一个持续100us的高电平输出。系统时钟频率为10MHz。设计中包含了脉冲上升沿检测和计数器来控制高电平的持续时间。仿真波形展示了模块的正确工作,并提醒在封装IP核后,测试 bench 文件需要另存以防止丢失。
摘要由CSDN通过智能技术生成

本模块输入一个脉冲,产生一个持续一段时间的高电平,系统时钟为10M,高电平持续时间为100us。
先将pulse信号进行打一拍操作,检测上升沿,然后用一个计数器控制高电平保持时间~

module pulse_test(
    input   wire    sclk,
    input   wire    rst_n,
    input   wire    pulse,
    output  wire    highleavel
);

reg     pulse_dly;
reg [9:0]   cnt;
reg     highleavel_reg;

always @(posedge sclk)
    pulse_dly <= pulse;

always @(posedge sclk or negedge rst_n)
    if(rst_n == 1'b0)
        cnt <= 'd0;
    else if(pulse == 1'b1 && pulse_dly == 
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值