$("#h01").attr("style","color:red").html("Hello jQ

<!DOCTYPE html>
<html>
<head>
<script src="https://ajax.googleapis.com/ajax/libs/jquery/1.8.3/jquery.min.js">
</script>
<script>
function myFunction()
{
$("#h01").attr("style","color:red").html("Hello jQuery")
}
$(document).ready(myFunction);
</script>
</head>

<body>
<h1 id="h01"></h1>
</body>
</html>


转载于:https://my.oschina.net/u/998691/blog/349315

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
下面是一个简单的 Verilog 程序示例,用于实现60进制可逆计数译码显示功能。该程序具有计数、显示、清零和启动、流水灯等功能,实现了上述技术要点。 ``` module counter ( input clk, // 时钟信号 input rst, // 复位信号 input start, // 启动信号 input direction, // 方向信号,0表示加计数,1表示减计数 output reg [1:0] display, // 数码管显示结果 output reg [3:0] led // 发光二极管流水灯状态 ); // 定义计数器初始值和计数范围 parameter COUNT_MIN = 0; parameter COUNT_MAX = 59; reg [5:0] count = COUNT_MIN; // 定义计数方向 reg dir = 0; always @ (posedge clk or posedge rst) begin if (rst) begin count <= COUNT_MIN; dir <= 0; end else if (start) begin if (direction) begin dir <= ~dir; end if (dir) begin count <= count - 1; end else begin count <= count + 1; end if (count == COUNT_MAX && ~dir) begin count <= COUNT_MIN; end else if (count == COUNT_MIN && dir) begin count <= COUNT_MAX; end end end // 数码管显示逻辑 always @ (count) begin case (count) 6'h00: display <= 2'h0; 6'h01: display <= 2'h1; 6'h02: display <= 2'h2; // ... 其他计数值的显示逻辑 ... 6'h3B: display <= 2'hF; 6'h3C: display <= 2'h0; // ... 其他计数值的显示逻辑 ... default: display <= 2'hX; endcase end // 流水灯逻辑 always @ (count) begin case (count) 6'h00: led <= 4'h1; 6'h01: led <= 4'h2; 6'h02: led <= 4'h4; // ... 其他计数值的流水灯逻辑 ... 6'h3B: led <= 4'h8; 6'h3C: led <= 4'h1; // ... 其他计数值的流水灯逻辑 ... default: led <= 4'h0; endcase end endmodule ``` 在该程序中,我们定义了一个模块 `counter`,它有一个时钟信号 `clk`,一个复位信号 `rst`,一个启动信号 `start`,一个方向信号 `direction`,一个数码管显示输出 `display`,以及一个发光二极管流水灯输出 `led`。该程序使用了一个计数器 `count`,其初始值为 `COUNT_MIN`,计数范围为 `COUNT_MIN` 到 `COUNT_MAX`,其中 `COUNT_MIN` 和 `COUNT_MAX` 都是参数。该程序还定义了一个计数方向 `dir`,默认为正向计数,当 `direction` 信号为 1 时,表示切换为反向计数。 在程序的 `always` 块中,我们使用了时钟信号和复位信号来实现计数器的计数功能。当 `start` 信号为 1 时,表示计数器开始工作,根据 `direction` 信号的值选择正向或反向计数,并根据计数器的值判断是否需要进行进位或借位。根据计数器的值,我们还使用了 `case` 语句来实现数码管显示和流水灯功能,对于每一个计数值,都有相应的显示和流水灯状态。 需要注意的是,该程序中的计数器是60进制的,因此在数码管显示和流水灯逻辑中,需要根据实际需求进行相应的设计和实现。同时,在实际应用中,还需要进行充分的测试和调试,以确保整个系统的稳定性和可靠性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值