基于VHDL的四路抢答器设计

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity carrie is
 port
 (
    CLK:std_logic;   --扫描脉冲
  host  : in std_logic;  --主持人信号
  answer  : in std_logic_vector(3 downto 0);  --抢答信号
  light  : out std_logic_vector(3 downto 0)   --指示灯
 );
end entity;
architecture rtl of carrie is
  
signal lock:std_logic;   --定义一个‘锁’信号使得一人抢答后其他人不能再抢答 
begin
 process (host,answer,CLK)
 begin
  if(host='0')then   -- 主持人清零
   light<="0000";   --清零
    lock<='0';     
  elsif (HOST='1')then    --主持人置‘1’,开始抢答
    IF(LOCK='0') THEN    --控制 信号,完成只能有一路选通
    IF(CLK'EVENT AND CLK='1') THEN        --脉冲扫描 
   case answer is
   when "1000"=>light<="1000";lock<='1';   --lock 信号使得一人抢答后其他人不能再抢答上
   when "0100"=>light<="0100";lo
  • 2
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值