自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(33)
  • 收藏
  • 关注

原创 ic基础|存储器篇01-芯片中的信息存储单元有哪些?一文带你了解芯片中的存储器

本篇文章中,我们对常见的几种存储器类型进行了介绍,它们可以根据断电后的信息的可保存性分为:非易失性存储器(NVM, non-volatitle memory),断电后数据可以保留,比如rom、flash、光盘存储器等等;易失性存储器(VM, volatitle memory),断电后数据自动丢失,比如sram、dram等等;如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注!

2024-07-12 22:32:39 792

原创 ic进阶|性能篇01:ic设计中的重定时到底是什么?一文带你了解重定时的原理!

本篇文章的内容差不多结束了,我们可以使用割级重定时来快速的完成重定时操作,除此之外还有其他更加复杂的重定时,比如说我们可以使用重定时的可行性约束,以及添加额外的时钟周期约束和扇出约束,来求得更为优化的重定时解,从而使得电路的时钟周期和寄存器数量最小化,这部分的内容大家感兴趣的话可以自行了解~ic进阶|面积篇01:改善面积的神器–折叠技术!以fir滤波器为例带你了解折叠技术!(qq.com)

2024-07-12 22:24:31 1203

原创 ic进阶|面积篇01:改善面积的神器--折叠技术!以fir滤波器为例带你了解折叠技术!

以上就是我们本篇文章的主要内容了,除了上面讲到的寄存器最小化技术,我们还可以应用重定时对折叠结构进行优化,我们会在以后的篇章进行介绍~最后,如果想要获取上述3阶折叠fir滤波器的完整verilog代码和matlab等仿真文件,可以按照以下方式进行获取,并私信“fir源码”哦~最后的最后,如果大家有什么感兴趣的ic内容,欢迎在评论区留言,可能会根据你们的需求出相关的文章哟~如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注!

2024-06-29 16:33:34 648

原创 ic基础|功耗篇04:门级低功耗技术

本篇文章主要讨论了芯片设计中的门级低功耗优化技术,与之前的文章里提到的系统级、RTL级低功耗技术相比,门级低功耗优化技术在低功耗设计中的层次较低,对功耗优化的占比也没有那么的大。不过我们仍需要了解并应用这些低功耗方法,并在不同的芯片设计阶段采用这些低功耗优化技术,旨在从多个角度降低芯片的功耗,提高能效比。ic基础|功耗篇01:影响芯片实际表现的重要指标——功耗的分类ic基础|功耗篇02:系统级低功耗技术ic基础|时钟篇03:低功耗技术之——门控时钟clock gating及锁存器latch的避免。

2024-06-29 16:21:47 611

原创 ic基础|功耗篇03:ic设计人员如何在代码中降低功耗?一文带你了解行为级以及RTL级低功耗技术

以上就是我们设计人员可以在平时的代码设计之中可以考虑的一些低功耗方法了,对于其中的许多低功耗方法,会带来更多的资源和时序压力,因此,芯片设计实际上是在面积功耗和性能上寻求平衡,在满足需求上的基础上寻求最优解。我们需要根据实际情况采用合适的设计方法~如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注!

2024-06-23 15:32:08 888

原创 ic小妙招|家中常备!使用波形仿真软件显示各类祝福语!ic打工人的告白利器!

这篇文章的内容就是以上这些了,最后祝各位读者端午节快乐!如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注!

2024-06-11 23:55:18 234

原创 ic基础|复位篇02:芯片中的“人生重来枪”!crg之复位系统

以上就是我们本篇文章的全部内容了,希望大家看了能有所收获吧~最后,还提一个关于复位的小知识,由于带有复位引脚的触发器所占用的芯片面积比没有复位引脚的触发器略微大一些,因此,如果用于保存数据信号的触发器的初始值无关紧要(即该数据信号不会用于做控制逻辑驱动后续的电路,且在后续工作过程中会有正确的数据对其进行覆盖),那么,我们可以使用不带复位引脚的触发器,以降低芯片的面积。如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注!

2024-06-07 22:17:08 649

原创 ic基础|时钟篇06:crg到底是什么?一文带你了解crg中的时钟系统

一个完整的crg模块由振荡器、锁相环、分频器、时钟门控和时钟缓冲器等组件组成。这些组件协同工作,为芯片内的各个模块提供稳定、可靠的时钟信号,本篇文章对crg中的时钟系统进行了简单的介绍,只是作为抛砖引玉,大家可以在后面的工作学习中积累更多的相关知识呢~此外,在一个crg模块中,除了时钟系统外,还有复位系统,这部分我们就留到下篇文章在进行分享吧~如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注!

2024-06-02 15:26:11 1197 1

原创 ic基础|时钟篇05:芯片中buffer到底是干嘛的?一文带你了解buffer的作用

以上是buffer功能的简要介绍啦,在下篇文章中,我们将之前提到过的时钟门控、时钟分频、时钟切换、osc和pll等电路组合起来,就可以组成一个基础的时钟和复位产生模块(CRG, clock reset generator)中的时钟部分电路,我们将在下篇文章对其进行介绍,那么我们下次再见~往期时钟篇文章:[ic基础|时钟篇:关于时钟切换的二三事(https://mp.weixin.qq.com/s?ic基础|时钟篇02:关于时钟分频器的二三事。

2024-05-26 17:35:03 2048

原创 ic基础|时钟篇04:原来芯片中的时钟是这样来的?一文带你了解芯片中的时钟源头——osc振荡器和pll锁相环

本篇文章我们对时钟的来源进行了一个简单的介绍,基于osc和pll,我们可以得到稳定的时钟,再结合我们之前提到过的时钟分频等电路,就可以进一步得到各种频率的时钟,此外,我们还可以对这些不同的生成时钟添加时钟门控进行低功耗控制。事实上,这些和时钟相关的电路组合在一起,就组成了一个时钟和复位产生模块(CRG, clock reset generator)中的时钟系统,我们将在后面的文章对其进行介绍,那么我们下次再见~

2024-05-21 22:32:33 1975

原创 ic基础|时钟篇03:低功耗技术之——门控时钟clock gating及锁存器latch的避免

以上就是时钟门控及latch的相关内容了,顺便一提,在我们的实际项目中,工艺库一般都会提供这种时钟门控模块,其中已经写好了时序约束,可以直接调用,不需要自己手动的搭建。不过身为一个合格的设计师对于这类模块的原理还是需要掌握的~如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注。

2024-05-21 22:22:36 1121

原创 ic基础|功耗篇02:系统级低功耗技术

本篇文章简要介绍了在系统层面的一些低功耗方法,对于我们这种前端设计人员,先要对这些方法有一个大致的了解,并在以后的工作逐渐积累实战经验,说不定以后在座的各位就是那些架构大佬中的一员呢~本篇文章主要是参考了《SoC设计方法与实现》和《硬件架构的艺术》两本书,如果有需要的话,可以在公众号回复“soc设计”和“硬件架构的艺术”哦~如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注!

2024-05-13 20:59:18 1252

原创 ic基础|时钟篇02:关于时钟分频器的二三事

本篇文章我们对一些常见的时钟分频器,比如偶数分频器、计数分频器、半整数分频器进行了介绍。我们可以基于计数器、上升沿敏感的触发器和下降沿敏感的触发器来产生我们不同分频比的时钟。如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注!

2024-05-08 23:34:28 664

原创 书籍推荐|经典书籍ic书籍REUSE METHODOLOGY MANUALFOR等和verilog网站推荐(附下载)

首先是一本数字电路相关的基础书籍,《数字电子技术基础》是一门介绍数字电子技术基本原理、基本方法和基本技能的课程。具体内容包括数字电路的基本概念、数字逻辑电路的设计与分析、时序逻辑电路的应用、半导体存储器和可编程逻辑器件的使用,以及脉冲波形的产生与整形等方面的内容,其中有许多理论基础和基本技能。大家好,今天是51过后的第一个工作日,想必大家都还没有完全从节假日的吃喝玩乐模式转变为勤勤恳恳的打工人模式,当然也包括我,因此这次更新主要是分享几篇书籍和verilog相关的学习网站~

2024-05-06 21:50:30 466

原创 ic基础|功耗篇01:影响芯片实际表现的重要指标——功耗的分类

在了解动态功耗和静态功耗的成因之后,我们设计人员就可以围绕功耗的成因进行“对症下药”,通过降低电压、降低工作频率、提高阈值电压、使用电源门控和时钟门控的方法降低功耗,这些方法被我们称为低功耗技术,这也是我们下一篇文章主要介绍的内容。如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注!

2024-04-29 20:55:03 1376

原创 ic基础|时序篇:握手协议valid和ready的时序优化

大家好,我是数字小熊饼干,一个练习时长两年半的ic打工人。我在两年前通过自学跨行社招加入了IC行业。当我们遇到时序违例时,通常采用的方式为插入寄存器(打拍)或者是后端插入buffer,这对使能信号或数据信号是有用的,但是对于那些需要满足握手协议的信号来说(例如:AXI协议中的多组握手信号xxready和xxvalid)单纯的打拍是行不通的,因为需要满足valid-ready协议,如果仅仅使用打拍很容易丢失数据。

2024-04-22 22:00:22 1241

原创 fpga基础|如何在XDC文件中使用get_pins/ports/cells/nets/clocks查找指定的对象

大家好,我是数字小熊饼干,一个练习时长两年半的ic打工人。我在两年前通过自学跨行社招加入了IC行业。我们在编写XDC约束文件时,需要将我们的约束命令和相应的对象联系起来,如果是刚入门fpga的新人经常会被一堆信号弄混了头,例如当初的我~ 因此我会在这篇文章中对常用的几个查找对象的命令进行说明介绍,便于新人理解如何查找到所需的对象。

2024-04-15 20:51:21 1536 1

原创 ic基础|时钟篇:关于时钟切换的二三事

本文探讨了如何实现无毛刺的时钟切换。对于成倍数的同步时钟,我们可以使用基于下降沿触发器的电路结构;而对于异步时钟,则需要添加额外的触发器来消除亚稳态和毛刺。如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注!

2024-04-07 22:40:37 1187 2

原创 ic基础|时序篇08:ic打工人必备的时序优化技巧!

大家好,我是数字小熊饼干,一个练习时长两年半的ic打工人。我在两年前通过自学跨行社招加入了IC行业。在前面的文章中,我们对时序进行了探讨,了解到了什么是时序违例,在本篇文章我们来讲讲该对时序违例进行修复的几种方法。

2024-03-31 16:51:24 1433 1

原创 书籍推荐|IEEE Standard for Verilog 2001/2005(附下载)

此外,标准还规定了Verilog语言的语义,即语言元素和构造的含义和行为。这使得不同的EDA工具和开发人员能够按照统一的标准进行电路设计,确保设计的正确性和可移植性。该标准详细规定了Verilog语言的语法、语义和行为,是硬件设计和验证领域的重要参考。IEEE Standard for Verilog Hardware Description Language是Verilog语言的重要标准,为硬件设计和验证提供了统一和规范的基础。它确保了Verilog语言的正确性和一致性,促进了硬件设计领域的发展。

2024-03-25 22:39:45 703 1

原创 ic基础|复位篇∶关于同步复位和异步复位你不得不知道的二三事~

大家好,我是数字小熊饼干,一个练习时长两年半的ic打工人。我在两年前通过自学跨行社招加入了IC行业。本篇文章我们来讲讲复位信号。复位信号,它的设计目的是让芯片进入一个能稳定操作且确定的初始状态,从而能够避免芯片在上电后进行某个随机的状态而死机,或者是运行过程中出现了问题,能通过看门狗等方式产生复位而恢复初始状态。复位信号可以分为同步复位和异步复位两种,它们各自有自己的优点和缺点,我们接下来对这两种复位信号进行介绍。

2024-03-24 14:30:14 1862 3

原创 ic基础|时序篇07:浅谈时序特例

大家好,我是数字小熊饼干,一个练习时长两年半的ic打工人。我在两年前通过自学跨行社招加入了IC行业。在前面的文章里,我们讨论了许多关于时序分析的知识,了解到了在时序路径中需要满足建立时间和保持时间,在这篇文章中我们主要聊聊在时序分析中的一些特例,这些时序特例不需要满足建立时间和保持时间,或者是要求没有那么严格的时序例外。

2024-03-20 21:08:37 1005 1

原创 ic设计书籍推荐|硬件架构的艺术(附下载)

此外,书中还对时钟分频器的各个方面和实现方法、低功耗设计技术、流水线技术、字节顺序、去抖动技术以及电磁干扰的原理和减少方法进行了深入的探讨。这本书揭示了硬件架构的设计艺术,涵盖了作者从事芯片设计行业十多年的经验和研究成果。全书共分九章,内容涉及时钟和复位、多时钟域设计、时钟分频器、低功耗设计技术、流水线技术、字节顺序、去抖动技术以及电磁兼容性等方面。这本书的实践性强,摆脱了繁杂的公式,从工程角度对技术原理进行解释,便于读者掌握技术,同时又能使读者从一定深度理解和应用技术。

2024-03-19 22:45:59 747 1

原创 ic基础|时序篇06:输入约束set_input_delay与输出约束set_output_delay详解

可见,输入延迟约束和输出延迟约束的实质,实际上是根据外部已经选定好的器件和路径延时等参数,对内部器件和路径延时等参数进行优化的过程。如果你喜欢这篇文章的话,请关注我的公众号-熊熊的ic车间,里面还有ic设计和ic验证的学习资料和书籍等着你呢~欢迎您的关注!

2024-03-16 20:20:48 2027 3

原创 ic设计书籍推荐|SOC设计方法与实现(附下载)

同时,对于已经有一定基础的设计师,书中也提供了很多实用的技巧和经验,可以帮助他们在实际项目中更加高效地完成任务。书中不仅讲解了SOC设计的理论知识,还结合实际案例,让读者能够更直观地理解如何在实际项目中应用这些知识。这种理论与实践相结合的方式,让读者更容易掌握SOC设计的精髓。书中还对SOC设计的未来发展进行了展望,使得读者能够站在更高的角度思考SOC设计的未来趋势和发展方向。《SOC设计方法与实现》这本书,对于想深入了解系统级芯片(SOC)设计的读者来说,是一本非常值得一读的参考书籍。

2024-03-14 22:29:32 585 2

原创 ic基础|时序篇05:多比特的跨时钟域处理(2)

大家好,我是数字小熊饼干,一个练习时长两年半的ic打工人。我在两年前通过自学跨行社招加入了IC行业。在这篇文章中,我们来聊聊多比特信号跨时钟域的一大神器,异步fifo。这也是我们在面试中,最常被问到的一个知识点,需要牢牢掌握。

2024-03-13 20:59:55 911 2

原创 ic设计书籍推荐|低功耗设计必备手册!Low Power Methodology Manual For System-on-Chip Design(内附下载)

本手册是任何正在设计或准备设计低功耗应用系统级芯片的必读著作。《LowPowerMethodologyManualForSystem-on-ChipDesign》是ic设计领域中关于低功耗设计的著名书籍,该书是由Synopsys和ARM中的专业人员联合编写,新思科技(Synopsys)是世界3大EDA巨头之一,是全球领先的芯片设计、验证和软件安全与质量解决方案提供商,ARM也是全球知名的cpu设计公司,由Synopsys和ARM中的低功耗设计专家MichaelKeating和DavidFlynn联合编写。

2024-03-11 22:15:23 794 3

原创 vivado技巧|如何在fpga内部实现i2c信号透传(fpga内部两组i2c inout信号互连)

大家好,我是数字小熊饼干,一个练习时长两年半的ic打工人。我在两年前通过自学跨行社招加入了IC行业。最近遇到了这么一个问题:我有一个i2c master,它的管脚与fpga相连接,在fpga内部有一个i2c slave,在fpga外部还有一个i2c slave,但是它的管脚直接与fpga管脚连接了,并没有多余的地方让我能够直接接线和i2c master连接。因此,问题就转换成了——如何在fpga内部实现i2c信号透传,即在fpga内部实现相当于实际用导线直接把两个端口连接在一起的功能。

2024-03-08 20:25:43 1557 2

原创 ic基础|时序篇04:多比特的跨时钟域处理(1)

大家好,我是数字小熊饼干,一个练习时长两年半的ic打工人。我在两年前通过自学跨行社招加入了IC行业。这篇文章我们主要来谈多比特的跨时钟域处理。对于单比特数据说来说,跨时钟域的不确定性顶多带来的是采集到之前数据或者是更新后的数据之间的区别。但对于多比特信号,由于其是通过多个触发器来锁存的,每个触发器的数据路径和时钟路径可能各有差异。这就造成了一个严重的后果:当时钟有效沿来临时可能有的数据跳变了,而有的数据没有跳变,从而采集到了错误的数据。因此对于多比特信号的跨时钟域,我们需要采用一些特别的处理方式。

2024-03-06 21:00:02 1442 2

原创 ic基础|时序篇03:单比特跨时钟域的处理

大家好,我是数字小熊饼干,一个练习时长两年半的ic打工人。我在两年前通过自学跨行社招加入了IC行业。在前几篇文章里,我们讨论了通过建立时间和保持时间,来进行时序分析,并介绍了同步电路中如果建立时间或保持时间违例,该如何修复。本篇文章主要讨论异步电路中,该如何保证时序,避免时序违例。

2024-03-01 20:23:59 1136 1

原创 ic基础|时序篇:浅谈负的建立时间和保持时间成因

总结一下,之所以有的地方建立时间和保持时间可以为负值,只是因为观察点不同,时间上在锁存器的端口上,建立时间和保持时间仍然为正值。最后还需要提一下,虽然建立时间和保持时间可以为负值,但是只能两者之一为负,且两者之和必须大于0!

2024-02-27 23:10:11 695

原创 ic基础|时序篇02:建立时间与保持时间的裕量计算以及违例解决办法

在上一篇文章中,我们提到了建立时间和保持时间的概念,在本篇文章中,我们将进一步进行建立时间和保持时间的裕量(slack)分析。

2024-02-24 21:35:07 1403 3

原创 ic基础|时序篇01:从触发器到建立时间和保持时间

在本篇文章中,我们对建立时间和保持时间进行了介绍,他们是静态时序分析的基础,也是笔试面试的常见考点。在下篇文章中,将结合时序路径对建立时间和保持时间的计算进行介绍。

2024-02-17 18:10:45 956 4

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除