自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1)
  • 资源 (15)
  • 问答 (1)
  • 收藏
  • 关注

原创 I2C接口总结

1 I2C总线的特点I2C总线最主要的优点是其简单性和有效性。由于接口直接在组件之上,因此I2C总线占用的空间非常小,减少了电路板的空间和芯片管脚的数量,降低了互联成本。总线的长度可高达25英尺,通常最大频率为400Khz,能够以10Kbps的最大传输速率支持40个组件。另一个优点是,它支持多主控,其中任何能够进行发送和接收的设备都可以成为主总线。一个主控能够控制信号的传输和时钟频率。当然,在

2016-06-03 14:56:28 4818

基于FPGA的SPI接口总线的实现.doc

基于FPGA的SPI接口总线的实现.doc

2021-08-15

Vivado2018.3生成和加载mcs文件详细过程.docx

Vivado2018.3生成和加载mcs文件详细过程.docx

2021-08-15

Vivado2018.3加载bit文件详细过程.pdf

Vivado2018.3加载bit文件详细过程.pdf

2021-08-15

FPGA JTAG网络远程加载.pdf

FPGA JTAG网络远程加载.pdf

2021-08-15

eMMC存储协议标准5.1

eMMC存储协议标准5.1

2016-05-25

FPGA开发全攻略(下册)

FPGA开发全攻略(下册)

2016-05-22

FPGA开发全攻略

FPGA开发全攻略的上册

2016-05-22

SATA2.5协议

SATA接口协议说明文档

2016-05-21

RAPIDIO接口协议

RAPIDIO接口协议的详细说明文档,对协议的实现过程有详细指导

2016-05-21

IODELAY输入输出延迟单元

XILINX fpga开发中,使用IODELAY模块控制信号的输入和输出延迟过程

2016-05-21

FC网络协议

FC网络协议实现说明文档

2016-05-21

CAN总线控制器说明

CAN总线的控制器使用说明

2016-05-21

以太网交换芯片BCM5396

详细描述了BCM5396交换芯片的使用方法和注意

2016-05-18

以太网PHY芯片BCM5464S

此文档很详细的说明了BCM5464的特性

2016-05-18

BCM5396网络交换芯片

BCM5396网络交换芯片

2016-05-09

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除