vivado中除法器ip核的使用

看了很多博客,都没写清楚,害

我要实现
reg [9:0] a; 被除数
reg [16:0] b; 除数
wire [39:0] res; 结果
wire [15:0] real_shan;
要实现a/b
则如下这么配置

在这里插入图片描述
选择经过几个周期出结果
在这里插入图片描述


wire [39:0] res;
// dly5 
div_gen_0 div_gen_0_inst (
  .aclk(clk),                                      // input wire aclk
  .s_axis_divisor_tvalid(1 ),    // input wire s_axis_divisor_tvalid  使能信号
  .s_axis_divisor_tdata(b ),      // input wire 
  
  .s_axis_dividend_tvalid(1 ),  // input wire s_axis_dividend_tvalid   使能信号
  .s_axis_dividend_tdata(a ),    // input wir 
  .m_axis_dout_tvalid( ),          // output wire 
  .m_axis_dout_tdata(res )            // output wire  
);

assign real_shan = res [39:24];
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

eachanm

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值