Verilog编程testbench的注意事项

对于新手,编写Verilog代码,仿真,最开心的是变化的波形图,最讨厌各种报错,或无错而输出为高阻态。

最近在写代码,调试的时候遇到的问题,以及心得,在此做一归纳总结。

1 Verilog中变量一定写在代码最前面,否则报错。这点不如C++灵活。
2 Verilog中各种语句一定最好写上begin end,否则各种奇葩错误。
3 Verilog中各种$语句一定在某个代码块中,而不是单独成行,否则各种报错。
4 Verilog中testbench代码,确定一定处理初始化,即首先置位,再进行复位,然后进行之后的运行,否则,变量处于未确定的值,仿真图形中变量为红色没有值的变化,让人误以为没有执行该部分代码。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值