自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(16)
  • 收藏
  • 关注

原创 2021-07-06

作业 p217页

2021-07-06 17:20:54 39

原创 2021-07-06

Verilog 智能抢答计时器结果

2021-07-06 11:49:48 54

原创 2021-07-06

Verilog第二版p109

2021-07-06 11:47:21 100

原创 2021-07-06

Verilog 书本p43页

2021-07-06 11:45:51 40

原创 2021-06-11

Verilog----移位除法器实验 【Verilog移位除法器-哔哩哔哩】https://b23.tv/BPv2Z5

2021-06-11 15:01:37 68 1

原创 2021-06-11

Verilog — SR锁存器延迟实验 【Verilog SR锁存器延迟模型-哔哩哔哩】https://b23.tv/rH6W7w

2021-06-11 15:00:24 56 1

原创 2021-06-11

Verilog-独热码状态机实验 【Verilog-哔哩哔哩】https://b23.tv/3ASt2N

2021-06-11 14:59:15 59 1

原创 2021-06-04

时序逻辑的测试模块 代码去下: module decoder3x8(din,en,dout,ex); input [2:0] din; input en; output [7:0] dout; output ex; reg [7:0] dout; reg ex; always @(din or en) if(en) begin dout=8’b1111_1111; ex=1’b1; end else begin case(din) 3’b000:begin dout=8’b1111_1110; ex=1’b

2021-06-04 12:33:21 66

原创 2021-06-04

Verilog HDL 测试模块 代码: module p2s(data_in,clock,reset,load, data_out,done); input [3:0] data_in; input clock, reset ,load; output data_out; output done; reg done; reg [3:0]temp; reg [3:0]cnt; always @(posedge clock or posedge reset ) begin if(reset) begin te

2021-06-04 12:31:24 127

原创 2021-06-04

个人作业; 数电p217页 代码如下: module seqmealy(Clock,Resetn,w,z); input Clock,Resetn,w; output reg z; reg [2:1]y,Y; parameter[2:1]A=2’b00,B=2’b01,C=2’b11; //Define the next state and output combinational circuits always@(w,y) case(y) A:if(w) begin z=0;Y=C; end esle b

2021-06-04 12:24:59 73

原创 2021-06-04

2021-06-04 12:19:06 49

原创 2021-05-07

1:跟上次仿真是一样的用modelsim进行仿真 2:利用quartus进行数学设计之后利用modelsim进行仿真 3:代码如下module add4(S,COUT,CIN,X,Y); output COUT; output [3:0] S; input CIN; input [3:0]X,Y; reg [3:0] S; reg COUT; always @(X ,Y, CIN) {COUT,S}=X+Y+CIN; endmodule module tb_41; wire COUT; wire

2021-05-07 21:04:46 43

原创 2021-05-07

1:跟上次仿真是一样的用modelsim进行仿真 2:利用quartus进行数学设计之后利用modelsim进行仿真 3:代码如下 module fulladd(S,Cout,Cin,A,B); output S,Cout; input Cin,A,B; wire and1,and2,and3,and4; xor (S,Cin,A,B); and (and1,Cin,A); and (and2,A,B); and (and3,Cin,B); or (Cout,and1,and2,and3); endmodu

2021-05-07 15:16:33 53

原创 2021-04-19

数字电路仿真教程 1:先下载好modelsim软件 2:打开quartusru软件,点击左上方file中选择new再点击vilog,打入代码。 3: 4: 5: 6: 7:等待配置

2021-04-19 13:36:50 58

原创 第一次实验

quartus ii安装教程 搜索出来网页前几个就是目标软件,点击下载即可。我这里已经下载好了; 选中 I accept the agreement,点击next 接着软件自动安装中,等待片刻… 最后点击Finish。这就是quartus ii安装教程。 打开电脑搜索目标软件 下载软件,双击并且安装。 ...

2021-03-20 19:29:30 53

原创 第一次实验

这里写自定义目录标题欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入 欢迎使用Markdown编辑器 你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如何使用Mar

2021-03-20 19:24:56 46

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除