verilog基础-模块例化

verilog语法中的模块例化

FPGA的设计通常都是由很多个模块组成,有顶层模块、高层模块和子模块之分,模块例化的功能即为连接这些模块

以数码管显示代码为例,根据要实现的功能,需在顶层模块中对计时模块和数码管静态显示模块分别例化。

计时模块代码如下:

module time_count(
	clk,
	rst_n,
	flag
);

parameter MAX_NUM = 50000000

......

endmodule

数码管静态显示模块部分代码如下:

module seg_led_static (
	clk,
	rst_n,
	add_flag,
	sel,
	seg_led
);

......	

endmodule

则顶层模块中的例化代码如下:

module seg_led_static_top (
	sys_clk,
	sys_rst_n,
	sel,
	seg_led
);

	parameter TIME_SHOW = 50000000;

	wire add_flag;

	time_count #(
		.MAX_NUM (TIME_SHOW)
	)u_time_count(
		.clk(sys_clk),
		.rst_n(sys_rst_n),
		.flag(add_flag)
	);

	seg_led_static u_seg_led_static (
		.clk(sys_clk),
		.rst_n(sys_rst_n),
		.add_flag(add_flag),
		.sel(sel),
		.seg_led(seg_led)
	);

......

endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值