Ubuntu 16.04 VCS+Verdi安装

前言

金鱼博主今天又花了大半天重装VCS+Verdi,现在记录一下,以备下回重装…

顺带一提,我的安装环境是虚拟机的Ubuntu 16.04。

参考

1.安装流程参考自:https://blog.csdn.net/qq_40829605/article/details/85345795

2.安装过程中各种问题的解决办法参考:https://blog.csdn.net/huayangshiboqi/article/details/89525723

3.安装过程中各种问题的解决办法参考:https://blog.csdn.net/Ztrans/article/details/88757695

4.安装gcc-4.8和g++-4.8:https://blog.csdn.net/sinat_35496345/article/details/80478622

5.测试是否正确安装:https://blog.csdn.net/huayangshiboqi/article/details/89577639

安装步骤

1. 安装文件下载

–vcs_2016
–verdi_2016
–scl_11.9    #此程序统一管理license等破解文件
–synopsys_installer #此程序用于安装以上3个程序
–scl_keygen #此文件用于生成license文件

网盘链接:

vcs_2016:
https://pan.baidu.com/s/1SWk4TUaAqG9zgfZPKQJBqw 提取码:ckhi
verdi_2016:
https://pan.baidu.com/s/1tSeup0tPo60NI0w9e8e_bA 提取码:wnwq
scl_v11.9:
https://pan.baidu.com/s/1FCY8wDEPxJqs8GtDv3iN4g 提取码:5ert
synopsys_installer:
https://pan.baidu.com/s/1_7F-sTfi1QvEg-XNy4IWWw 提取码:elml
scl_keygen:
https://pan.baidu.com/s/1Zc-TaEiPpb9Zci1Kj7chKA 提取码:97ee

2.安装准备

解压缩SynopsysInstaller.rar,后把synopsys_installer、vcs_2016、verdi_2016、scl_v11.9传到虚拟机中。

建立安装目录及相关文件夹(假设用户名叫tony):

cd /home/tony
mkdir synopsys
cd synopsys
mkdir vcs_2016.06
mkdir scl_11.9
mkdir verdi_2016.06-1

3.安装Synopsys installer

输入命令:

cd /home/tony/synopsys_installer
./SynopsysInstaller_v3.3.run
./setup.sh

出现如下弹窗:(我这里文字不知道为什么显示的特别大)

按照如下操作依次安装vcs、verdi和scl(顺序无所谓):

Site ID Number所在页不用做修改,直接next。第一个browse选择安装包所在文件夹。然后选择安装版本,建议优先选择Linux64版本(否则可能少文件夹)。第二个browse选择安装目录,也就是第2步新建的对应文件夹。

4.获取License

在下载下来的scl_keygen文件夹中,打开scl_keygen.exe,需要修改HOST ID Daemon、HOST ID Feature和HOST Name。

HOST ID Daemon和HOST ID Feature填一样的信息。输入ifconfig,会打印出一堆信息。信息第一行中有这样格式的信息“ABcdef 00:11:22:33:44:55”,则HOST ID Daemon为“001122334455”

HOST Name输入命令hostname即可获得。

填好后,点击Generate,在同一个文件夹下出现Synopsys.dat。

5.修改Synopsys.dat文件并复制到vcs和verdi的license文件夹

修改Synopsys.dat中的第二行为:

DAEMON snpslmd /home/tony/synopsys/scl_11.9/amd64/bin/snpslmd 

将修改好的Synopsys.dat复制到如下路径:(如果没有license文件夹,就新建一个)

/home/tony/synopsys/vcs_2016.06/license
/home/tony/synopsys/verdi_2016/license

6.安装gcc-4.8和g++4.8

确认gcc与g++的版本,如果都为4.8则直接跳到第七步:

gcc --version
g++ --version

安装gcc-4.8:

sudo apt-get install gcc-4.8
ls /usr/bin/gcc*
sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.8 100
sudo update-alternatives --config gcc

安装g++4.8:

sudo apt-get install g++-4.8
ls /usr/bin/g++*
sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/g++-4.8 100
sudo update-alternatives --config g++

注:如果不是4.8版本则在使用vcs编译时会报错:make:gcc-4.8:command not found

7.设置环境变量

输入如下命令来打开bashrc文件(注意此时不要在root权限下打开):

vim ~/.bashrc

在文件最后加上如下信息:

#dve
export PATH=$PATH:/home/tony/synopsys/vcs_2016.06/gui/dve/bin #改成自己vcs的安装路径
alias dve='dve -full64'
 
#VCS
export PATH=$PATH:/home/tony/synopsys/vcs_2016.06/bin     #改成自己vcs的安装路径
alias vcs='vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed' 
#vcs需要的固定的参数,注意系统要安装gcc-4.8和g++-4.8,这两个需要自己独立安装
 
#VERDI
export PATH=$PATH:/home/tony/synopsys/verdi_2016.06-1/bin #改成自己Verdi安装路径
export VCS_HOME=/home/tony/synopsys/vcs_2016.06
export VERDI_HOME=/home/tony/synopsys/verdi_2016.06-1
export NOVAS_HOME=/home/tony/synopsys/verdi_2016.06-1
anias verdi='verdi'
 
#LICENCE
export LM_LICENSE_FILE=27000@tony-pc        #改成自己的host name
alias lmg_vcs='lmgrd -c /home/tony/synopsys/vcs_2016.06/license/Synopsys.dat' #注意改路径
 
#scl
export PATH=$PATH:/home/tony/synopsys/scl_11.9/linux/bin  #改SCL安装路径
export VCS_ARCH_OVERRIDE=linux

保存后,输入如下命令使文件立即生效:

source .bashrc

8.激活License

可能需要安装:

sudo apt-get install lsb-core

激活:(每次重启都要重新激活)

lmg_vcs

停在如下位置后,按下回车,完成激活:

常见问题:

1、若途中有关TCP port的报错,查询27000端口进程,直接kill 掉等待27000端口完全释放再重新lmg_vcs即可。
command:
sudo netstat -ap | grep 27000
kill -9 ******

2、Failed to open the TCP port number in the license问题:

在Terminal输入:lmdown

然后会问y/n,输入y。等待一会儿就可以了。注意要等待一会儿

参考:http://bbs.eetop.cn/thread-307403-3-1.html

3、lmgrd can't make directory /usr/tmp/.flexlm when running server on Ubuntu问题:

这个问题,可以参考这个链接http://www.myir-tech.com/faq_list.asp?id=521

输入输入如下代码:

sudo -i              #切换到root权限
mkdir /usr/tmp/      #创建目录(Ubuntu下没有这个目录)
chmod 777 /usr/tmp/  #修改权限

9.运行软件并测试:

输入如下命令打开VCS图形化界面

dve

至于vcs+verdi的测试和运行可以参考这篇文章的流程和代码:

https://blog.csdn.net/huayangshiboqi/article/details/89577639

一些问题解决办法:

  • /bin/sh illegal option -h,输入如下命令:
sudo rm -f /bin/sh
sudo ln -s /bin/bash /bin/sh
  • /home/hdl/synopsys/verdi_2016.06-1/platform/LINUXAMD64/bin/Novas: error while loading shared libraries: libjpeg.so.62: cannot open shared object file: No such file or directory
sudo apt-get install libjpeg62-dev

 

  • 6
    点赞
  • 84
    收藏
    觉得还不错? 一键收藏
  • 11
    评论
评论 11
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值