关于 Quartus II 13.1中调用ModelSim-Altera 10.1d 报错问题

在使用Quartus II 13.1及ModelSim-Altera 10.1d进行FPGA仿真时遇到错误"Can't launch the ModelSim-Altera software"。问题根源在于路径配置不正确,正确路径应包含额外的反斜杠。修正路径后,成功调用ModelSim-Altera进行仿真。
摘要由CSDN通过智能技术生成
 
用quartus2和modelsim连接仿真时,当你在时序仿真编译启动modelsim时,有时会遇到这种问题,Error: Can't launch the ModelSim-Altera software -- the path to the location of the executables for the ModelSim-Altera software were not specified or the executables were not found at specified path. 
Error: You can specify the path inthe EDA Tool Options page of the Options dialog box or using the Tcl command set_user_option. 

Error: NativeLink simulation flow was NOT successful 



新装了Quartus13.1及自带的ModelSim-Altera 10.1d 版本,在做仿真时调用 ModelSim-Alteara,发现如下错误:
”Can't launch the ModelSim-Al

评论 15
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值