8奇偶校验器的VHDL描述

library ieee;

use ieee.std_logic_1164.all;

entity parity_send is

  generic(n:integer : =8);

  port(a:in std_logic_vector(n - 1 downto 0);

            wel : out std_logic);

end parity_send;

architecture one of parity_send is

  begin

      process(a)

          variable tmp : std_logic;

          begin

            tmp : ='1';

            for i in 0 to n-1 loop

            tmp : =tmp xor a(i);

       end loop

    wel <= tmp

end process;

end one

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值