verilog语法-001参数例化

verilog参数例化

1、参数定义parameter

module ram_1r1w 
#( 
parameter width=128,
parameter deepth=32
)
(
input               wclk,
input   [width-1:0]   wdin,
.....................................
input               rclk,
output  [width-1:0]  dout,
...................................
);
....................
....................
.....................
endmodule   

可传递的参数例化建议放在module名的后面,如上所示,采用#( parameter  xxx=xxx, parameter xxx=xxx, ....)的格式,方便查看和修改。

2、参数例化

ram_1r1w
#(
 .width(128),
.deepth(96)
)
ram_1r1w_96x128
(
.wclk(wclk),
.wen(write_en),
.waddr(waddr),
.wdin(wdata),
.rclk(rclk),
.ren(read_en),
.raddr(raddr),
.dout(rdata)
);

在模块例化时可使用参数传递,格式如上所示

module_name #(.parameter1(xxx1),.paramter2(xxx2))

module_name_u0 ( .signal1(s1), .signal2(s2),.............);

参数例化括号内也可以使新的参数,如下所示

module mactx_32x128_fifo
#( 
parameter WIDTH=128,
parameter DEEPTH=32
)
(
input  wclk,
......................
input  rclk,
...................
)
................
................

ram_1r1w
#(
 .width(WIDTH),
 .deepth(DEEPTH)
)
ram_1r1w_u0
(
.wclk(wclk),
.wen(write_en),
.waddr(waddr),
.wdin(wdata),
.rclk(rclk),
.ren(read_en),
.raddr(raddr),
.dout(rdata)
);

 

  • 3
    点赞
  • 37
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog模块例化是指在代码中调用其他模块以构建更大的系统。它类似于面向对象编程中的函数调用。这种方法可以使设计过程更加高效,代码更易于管理。下面是verilog模块例化语法的详细介绍。 Verilog模块例化是通过实例化模块来使用的。在模块调用之前,需要定义模块的接口。在verilog中,模块接口由输入、输出和其他模块参数组成。模块定义使用“module”关键字并指定模块名称。模块接口由“input”和“output”关键字加上端口名称和端口宽度组成。例如: module MyModule(input a, output b, output [0:7] c); 模块接口定义后,可以在其他模块中实例化模块并将其连接到其他模块。模块实例化使用“instan”关键字,指定模块名称、实例名称和连接的端口。例如: MyModule my_inst(.a(input_signal), .b(output_signal), .c(output[3:5])); 上面的例子中,MyModule被实例化为名为my_inst的实例。输入信号input_signal连接到a端口,输出信号output_signal连接到b端口,output[3:5]连接到c端口,这是将output端口中的3~5位连接到my_inst的端口。 在实例化的过程中,如果想要连接一个未命名的端口,可以使用“.”加上端口名称进行连接。例如: MyModule my_inst(.a, .b, .c); 在这种情况下,连接的端口的信号将默认为当前环境中有同名的信号,也可以使用其他方式进行连接。 总之,Verilog模块例化是将多个模块连接在一起,建立复杂的电路系统的方法。它本质上是在不同的模块之间创建通道,以实现数据和信号的传输。模块例化可以使设计过程更具模块化、可维护性和灵活性。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值