Verilog基本语法之模块以及模块调用详解(2)

本文详细介绍了Verilog的模块结构,包括模块的组成、模块详解,强调了每条逻辑功能语句和数据定义后的分号必要性。同时,讲解了模块调用的两种方式:按位置和按名词调用,并通过二选一多路选择器的实例展示了如何调用和组合模块以实现更复杂的逻辑功能,如三选一多路选择器。
摘要由CSDN通过智能技术生成

模块结构

一、模块结构组成

	模块由三个元素组成,分别是模块起始、接口说明、逻辑功能描述

	 1. 模块起始:每个模块都要进行端口定义,格式为:module 模块名(端口1, 端口2, 端口3),
	 2. I/O接口说明:input输出,output输出,inoutput双向接口
	 3.  逻辑功能描述:always、assign等功能描述。

二、模块详解

	组合成为完整程序如下:
		module <模块名> (<端口列表>)
			<I/O接口说明>
			<内部信号声明>
			<逻辑功能定义>
		Endmodule
	拿与门、或门举例:
		module AndOR(a, b, c)//模块起
		    input a,b;//接口方向为输入
		    output c,d;
		 
		    assign c = a & b;//组合逻辑-与
		    assign d = a | b;//组合逻辑-非
		 endmodule   //模块终

注意:每条逻辑功能语句和数据定义的最后必须有分号。

程序模块---->>>到电路模块

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

单片机探索者bea

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值