FPGA:程序框架

本文详细介绍了Verilog HDL模块的结构,包括module关键字、端口定义、输入输出声明以及assign赋值语句。还提到了模块实例化的注意事项,如输入输出类型限制和位宽匹配。此外,讨论了寄存器类型的声明和模块调用的规则。
摘要由CSDN通过智能技术生成

1. 注释

在这里插入图片描述

2. 模块的结构


2.1. module是模块的关键字,module开始到endmodule结束,是模块的范围。
2.2. block是模块名。
2.3. block(a,b,c,d)中(a,b,c,d)是端口的定义。
a,b,c,d 默认是线网类型 的信号。
2.4. input a,b;
说明a,b是输入端口。
output c,d;
说明c,d是输出端口。
2.5. assign c = a | b;
assign可以对线网类型赋值。

3. 实例

在这里插入图片描述
2.6 output reg [3:0] led
output后面默认是线网类型 ,但当led是寄存器时,需要制定为寄存器类型。

4. 模块的调用

在这里插入图片描述
在这里插入图片描述

注意1:模块调用的输入端,可以是wire或reg类型,但输出端只能是wire类型。
注意2:数据传递的位宽需要保持一致

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值