Quartus II 之Block文件编程与时序仿真

一、建立block文件bdf

1.QUARTUS 2 启动à New Projectà然后一路next,选择好芯片型号EMP240T100C5Nà选择好仿真器:Custom Verilog HDLàFINUSH

 

2.FileàNewàDevice Desing Files[ BlockDiagram/Schematic File]àOK

 

3.在文件中添加模块,实现好功能,并保存为 bdf 文件

 

二、进行时序仿真

4.Processingàstart Compilation

 

5.AssignmentsàPin Planner 配置引脚

 

6.ProcessingàGenerate Functional Simulation Netlist

 

7.ToolsàSimulation Toolà在Simulation mode选项卡内选择:Timing[时间] è选择对话框下面的 OPENà

 

在跳出的文件 vwf中添加端口信息。à可以对三八译码器的输入ABC进行设置为GROUP,命名为INPUT[2..0]à然后选中输入的组,设置值为COUNTà点击保存

 

8.再点击 START Compilation进行全编译

9.之后再点击Simulator Tool对话框中的 Start进行simulatorà最终点击Simulaor Tool对话框中的Report进行查看时序逻辑图。

三、进行功能仿真

10.进行功能仿真的话,此时需按9之前面步骤完成工作è最后全编译è再进行Processing à GenerateFunctional Simulation Netlist

11.ToolsàSimulation Toolà在Simulation mode选项卡内选择:Functional è选择对话框下面的 OPENà在跳出的文件vwf中添加端口信息。à可以对三八译码器的输入ABC进行设置为GROUP,命名为INPUT[2..0]à然后选中输入的组,设置值为COUNTà点击保存

 

12.再点击 START Compilation进行全编译à之后再点击Simulator Tool对话框中的 Start进行simulatorà最终点击Simulaor Tool对话框中的Report进行查看功能逻辑图。

 

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

爆板流

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值