自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(37)
  • 资源 (2)
  • 收藏
  • 关注

转载 BP算法精彩讲解集合

BP神经网络的数学原理及其算法实现: http://blog.csdn.net/zhongkejingwang/article/details/44514073脉络清晰的BP神经网络讲解:http://www.cnblogs.com/wengzilin/archive/2013/04/24/3041019.htmlBP神经网络模型与学习算法:http://www.cnblogs.com/wenti...

2018-06-30 18:22:41 194

转载 BP 算法之一种直观的解释

0. 前言之前上模式识别课程的时候,老师也讲过 MLP 的 BP 算法, 但是 ppt 过得太快,只有一个大概印象。后来课下自己也尝试看了一下 stanford deep learning 的 wiki, 还是感觉似懂非懂,不能形成一个直观的思路。趁着这个机会,我再次 revisit 一下。本文旨在说明对 BP 算法的直观印象,以便迅速写出代码,具体偏理论的链式法则可以参考我的下一篇博客(都是图片...

2018-06-30 18:21:42 167

转载 matlab建立BP神经网络中train函数的参数及学习算法参数

1.神经网络主要训练参数net.trainParam.goal=0.1                 % 训练目标最小误差,这里设置为0.1net.trainParam.epochs=300;             % 训练次数,这里设置为300次net.trainParam.show=20;               % 显示频率,这里设置为没训练20次显示一次net.trainParam...

2018-06-30 16:17:00 3884

转载 利用MATLAB 2016a进行BP神经网络的预测(含有神经网络工具箱)

利用MATLAB 2016a进行BP神经网络的预测(含有神经网络工具箱)    最近一段时间在研究如何利用预测其销量个数,在网上搜索了一下,发现了很多模型来预测,比如利用回归模型、时间序列模型,GM(1,1)模型,可是自己在结合实际的工作内容,发现这几种模型预测的精度不是很高,于是再在网上进行搜索,发现神经网络模型可以来预测,并且有很多是结合时间序列或者SVM(支持向量机)等组合模型来进行预测,本...

2018-06-30 15:53:20 26531 6

转载 神经网络matlab工具箱有关参数设置

1、常见参数net.trainParam.epochs   最大训练次数net.trainParam.goal  训练要求精度net.trainParam.lr  学习速率net.trainParam.show   显示训练迭代过程net.trainParam.time  最大训练时间一般用到的就是这些2、更改相关的参数也可以更改许多属性。这需要看书《神经网络模型及其matlab仿真程序设计》3、...

2018-06-30 15:24:33 8869 2

转载 matlab建立BP神经网络中train函数的参数及学习算法参数

1.神经网络主要训练参数net.trainParam.goal=0.1                 % 训练目标最小误差,这里设置为0.1net.trainParam.epochs=300;             % 训练次数,这里设置为300次net.trainParam.show=20;               % 显示频率,这里设置为没训练20次显示一次net.trainParam...

2018-06-30 14:44:47 3223

转载 matlab中size()函数的用法

size():获取矩阵的行数和列数(1)s=size(A),         当只有一个输出参数时,返回一个行向量,该行向量的第一个元素时矩阵的行数,第二个元素是矩阵的列数。(2)[r,c]=size(A),         当有两个输出参数时,size函数将矩阵的行数返回到第一个输出变量r,将矩阵的列数返回到第二个输出变量c。(3)size(A,n)如果在size函数的输入参数中再添加一项n,并...

2018-06-30 09:24:52 7218

转载 matlab中(),[],与{}的区别认识

在matlab中,常常会遇到(),[],和{},这个3种符号怎么区分,怎么用,这里我来总结一下,龚参考。[]首先。[]叫中括号,它用来存储矩阵和向量(vector在C++中也叫容器) 举个例子,a=[1,2,3],是一个向量,这个向量有3个元素,也可以用a=[1 2 3] eg:  对于a=[1 2 3;2 3 4 ;3 4 5],就是一个3*3矩阵 { }大括号,用于cell型的数组(就是前面讲...

2018-06-30 09:21:44 896

转载 MATLAB矩阵操作大全

一、矩阵的表示在MATLAB中创建矩阵有以下规则:a、矩阵元素必须在”[ ]”内;b、矩阵的同行元素之间用空格(或”,”)隔开;c、矩阵的行与行之间用”;”(或回车符)隔开;d、矩阵的元素可以是数值、变量、表达式或函数;e、矩阵的尺寸不必预先定义。二,矩阵的创建:1、直接输入法最简单的建立矩阵的方法是从键盘直接输入矩阵的元素,输入的方法按照上面的规则。建立向量的时候可以利用冒号表达式,冒号表达式可...

2018-06-30 08:51:41 7716

转载 向量点乘(内积)和叉乘(外积、向量积)概念及几何意义解读

向量是由n个实数组成的一个n行1列(n*1)或一个1行n列(1*n)的有序数组;向量的点乘,也叫向量的内积、数量积,对两个向量执行点乘运算,就是对这两个向量对应位一一相乘之后求和的操作,点乘的结果是一个标量。点乘公式对于向量a和向量b:                                                           a和b的点积公式为:要求一维向量a和向量b的行...

2018-06-18 15:17:20 52247 2

转载 深度学习初学者必读:张量究竟是什么?

近段时间以来,张量与新的机器学习工具(如 TensorFlow)是非常热门的话题,在那些寻求应用和学习机器学习的人看来更是如此。但是,当你回溯历史,你会发现一些基础但强大的、有用且可行的方法,它们也利用了张量的能力,而且不是在深度学习的场景中。下面会给出具体解释。如果说计算是有传统的,那么使用线性代数的数值计算就是其中最重要的一支。像 LINPACK 和 LAPACK 这样的包已经是非常老的了,但...

2018-06-15 20:14:07 1777 2

转载 张量分解-张量介绍

张量分解-张量介绍 2016.06.16张量介绍张量(tensor)是一个多维的数据存储形式,数据的的维度被称为张量的阶。它可以看成是向量和矩阵在多维空间中的推广,向量可以看成是一维张量,矩阵可以看成是两维的张量。下面是一个三阶张量的例子,它有三维即3个mode值得注意的是这里说的张量是一个具有某种排列形式的数据的集合,它和物理中的张量场是不同的。传统的方法(例如ICA,PCA、SVD和NMF)对...

2018-06-15 19:31:07 13292 2

转载 tensorflow+入门笔记︱基本张量tensor理解与tensorflow运行结构

Gokula Krishnan Santhanam认为,大部分深度学习框架都包含以下五个核心组件:张量(Tensor)基于张量的各种操作计算图(Computation Graph)自动微分(Automatic Differentiation)工具BLAS、cuBLAS、cuDNN等拓展包. .一、张量的理解本节主要参考自文章《开发丨深度学习框架太抽象?其实不外乎这五大核心组件》.1、张量的解读张量...

2018-06-15 18:07:58 520

转载 FPGA “打一拍”含义

打一拍 = sample ...也可以說是delay 1個cycle.    打多拍,就是delay 多個cycle.              看設計上的須要吧.通俗的讲,打一拍就是系统时钟对外部信号采样一次,把采样的结果数据做为下一步的控制状态!1 IOB      为了保证FPGA输入输出接口的时序,一般会要求将输入管脚首先打一拍再使用,输出接口也要打一拍再输出FPGA。将信号打一拍的方法是...

2018-06-12 18:47:36 16819

转载 什么是高性能计算,涉及哪些技术和知识呢?

什么是高性能计算,涉及哪些技术和知识呢?高性能计算(High performance computing) 指通常使用很多处理器(作为单个机器的一部分)或者某一集群中组织的几台计算机(作为单个计算资源操作)的计算系统和环境。高性能集群上运行的应用程序一般使用并行算法,把一个大的普通问题根据一定的规则分为许多小的子问题,在集群内的不同节点上进行计算,而这些小问题的处理结果,经过处理可合并为原问题的最...

2018-06-08 20:25:02 29288

转载 Alphago进化史 漫画告诉你Zero为什么这么牛

 Alphago家族又添新成员  来源:环球科学ScientificAmerican公众号  策划 | 吴非    绘制 | 铁蛋公主  专家评Alphago Zero 成绩令人欣喜但AI还在路上  Alphago进步速度示意图  作者:葛熔金  在金庸的小说《射雕英雄传》里,周伯通“左手画圆,右手画方”,左手攻击右手,右手及时反搏,自娱自乐,终无敌于天下。  现实世界中,亦有这么一个“幼童”,他...

2018-06-08 17:54:25 4290

转载 TensorFlow快餐教程:程序员快速入门深度学习五步法

作者简介:刘子瑛,阿里巴巴操作系统框架专家;CSDN 博客专家。工作十余年,一直对数学与人工智能算法相关、新编程语言、新开发方法等相关领域保持浓厚的兴趣。乐于通过技术分享促进新技术进步。作为一个程序员,我们可以像学习编程一样学习深度学习模型开发。我们以 Keras 为例来说明。我们可以用 5 步法 + 4 种基本元素 + 9 种基本层结构,这 5-4-9 模型来总结。5步法:构造网络模型编译模型训...

2018-06-08 17:04:57 503 1

转载 SystemVerilog语言简介

SystemVerilog是一种硬件描述和验证语言(HDVL),它基于IEEE1364-2001 Verilog硬件描述语言(HDL),并对其进行了扩展,包括扩充了C语言数据类型、结构、压缩和非压缩数组、 接口、断言等等,这些都使得SystemVerilog在一个更高的抽象层次上提高了设计建模的能力。SystemVerilog由Accellera开发,它主要定位在芯片的实现和验证流程上,并为系统级...

2018-06-08 17:02:53 1392

转载 关于generate用法的总结【Verilog】

Abtractgenerate语句允许细化时间(Elaboration-time)的选取或者某些语句的重复。这些语句可以包括模块实例引用的语句、连续赋值语句、always语句、initial语句和门级实例引用语句等。细化时间是指仿真开始前的一个阶段,此时所有的设计模块已经被链接到一起,并完成层次的引用。Introduction1.generate语法定义genvar,作为generate种的循环变...

2018-06-08 08:11:31 1638

转载 FPGA中inout端口使用方法总结 (Verilog)

输入端口可以由wire/reg驱动,但输入端口只能是wire;输出端口可以使wire/reg类型,输出端口只能驱动wire;若输出端口在过程块中赋值则为reg型,若在过程块外赋值则为net型。用关键词inout声明一个双向端口, inout端口不能声明为reg类型,只能是wire类型;输入和双向端口不能声明为寄存器类型。INOUT引脚:1.FPGA IO在做输入时,可以用作高阻态,这就是所说的高阻...

2018-06-05 21:32:13 4929

转载 如何学习FPGA

PS:笔者强烈建议诸位注册一个EETOP的账号,每天签到或者发贴、回贴就有积分了,里面的资源非常丰富,各种软件、资料都能找到。一、入门首先要掌握HDL(HDL=verilog+VHDL)。       第一句话是:还没学数电的先学数电。然后你可以选择verilog或者VHDL,有C语言基础的,建议选择VHDL。因为verilog太像C了,很容易混淆,最后你会发现,你花了大量时间去区分这两种语言,而...

2018-06-05 19:54:14 2928 1

转载 Verilog 模块和端口

一、模块        模块定义以关键字module开始,模块名、端口列表、端口声明和可选的参数声明必须出现在其他部分的前面,endmodule语句必须为模块的最后一条语句。模块内部的5个组成部分是:变量声明、数据流语句、低层模块实例、行为语句块以及任何和函数。在模块的组成部分中,只有module、模块名、endmodule必须出现,其他部分都是可选的,用户可以根据设计的需要随意选用。    二、...

2018-06-05 18:09:26 5581

转载 Verilog 中定义信号为什么要区分 wire 和 reg 两种类型?

作者:young cc链接:https://www.zhihu.com/question/21021718/answer/56339195来源:知乎著作权归作者所有。商业转载请联系作者获得授权,非商业转载请注明出处。两者差别很大,完全不能取消。在Verilog中,wire永远是wire,就是相当于一条连线,用来连接电路,不能存储数据,无驱动能力,是组合逻辑,只能在assign左侧赋值,不能在alw...

2018-06-05 18:08:27 7820 2

转载 verilog求阶乘

求阶乘`include "function.v"`timescale 1ns/100ps`define clk_cycle 50 module tryfuctTop; reg[3:0] n,i;reg reset,clk; wire[31:0] result; initial  begin    n=0;    reset=1;    clk=0;    #100 reset=0;    #100...

2018-06-05 18:07:21 2216

转载 最大公约数Stein算法之verilog实现

求最大公约数有几种算法:1、辗转相除法,2、更相减损术,3、Stein算法。Stein算法跟更相减损术很像,而且只有比较、移位、减法,非常适合用FPGA实现。不了解这个算法的,可以先到百度百科看一下,Stein算法百度百科,此外,还要看看C语言实现的算法,百科那里的示例只有30行。1、算法步骤。那么,我把百科里面的算法步骤改写一下,让它更适合用verilog实现。a、先装载A和B的值,C清零。b、...

2018-06-05 18:06:32 851

转载 基于FPGA的cordic算法的verilog初步实现

基于FPGA的cordic算法的verilog初步实现  最近在看cordic算法,由于还不会使用matlab,真是痛苦,一系列的笔算才大概明白了这个算法是怎么回事。于是尝试用verilog来实现。用verilog实现之前先参考软件的程序,于是先看了此博文http://blog.csdn.net/liyuanbhu/article/details/8458769 也不截图了,因为怕图形被其他博客网...

2018-06-05 18:04:40 763

转载 Verilog语法_1(reg、wire、always语法)

September 16, 2016 作者:dengshuai_super 出处:http://blog.csdn.net/dengshuai_super/article/details/52557422 声明:转载请注明作者及出处。可综合文件放到design文件夹下,testbench文件放到sim文件夹下(文件夹名可自定义)//ex_module.vmodule ex_module( ...

2018-06-05 18:00:51 18541

转载 如何学习FPGA

PS:笔者强烈建议诸位注册一个EETOP的账号,每天签到或者发贴、回贴就有积分了,里面的资源非常丰富,各种软件、资料都能找到。一、入门首先要掌握HDL(HDL=verilog+VHDL)。       第一句话是:还没学数电的先学数电。然后你可以选择verilog或者VHDL,有C语言基础的,建议选择VHDL。因为verilog太像C了,很容易混淆,最后你会发现,你花了大量时间去区分这两种语言,而...

2018-06-05 08:15:42 302

转载 定点与浮点

定点与浮点是指计算机存储数字的格式,跟人们日常使用的数字有很大区别。而定点与浮点在《计算机组成原理》有很详细的说明,但是电子专业的学生只学《单片机》,而《单片机》并没有介绍定点与浮点的内容,所以电子专业的学生缺了这点基础,导致在FPGA处理运算时遇到拦路虎。一、定点。定点数是非常简单的,它最早在小学的时候就已经学了,只不过那个时候并没有使用定点这个术语。如上图所示,31.5米=315分米,显然根据...

2018-06-04 16:03:58 496 1

转载 在verilog中#的用法

# 是延迟的意思,井号后面数字是延迟的数量,延迟的单位由`timescale控制比如有:`timescale 1ns/1ps 意思就是时间单位为1ns,精度是1ps那么,#10.5 就是延迟10.5ns的意思在同步时序数字逻辑电路的verilog代码中,不能加入“#”进行延迟,这不是代码编写阶段能决定的...

2018-06-04 15:56:16 47092 4

转载 verilog 里面,always和always@(*)有区别吗?

1.always@后面内容是敏感变量,always@(*)里面的敏感变量为*,意思是说敏感变量由综合器根据always里面的输入变量自动添加,不用自己考虑。 2.如果没有@,那就是不会满足特定条件才执行,而是执行完一次后立马执行下一次,一直重复执行,比如testbench里面产生50Mhz的时钟就(假设时间尺度是1ns)可以写成 always #20 CLK_50Mhz = ~CLK_50Mhz;...

2018-06-04 15:53:32 15104

转载 verilog数据常量 表示法

请参考verilog数据常量数字表达式:<位宽><进制><数字>’b:二进制 //eg.4'b1110 表示4位二进制数1110‘h:十六进制 //eg 8'hef、4’ha等'd:十进制 //eg 2'd3、4‘d15(不能写16,4位宽最大15)等所以10’d0表示10位宽的数值0,0000000000加入10‘d15,则表示十进制15,...

2018-06-04 15:50:56 20182

转载 最大公约数Stein算法之verilog实现

求最大公约数有几种算法:1、辗转相除法,2、更相减损术,3、Stein算法。Stein算法跟更相减损术很像,而且只有比较、移位、减法,非常适合用FPGA实现。不了解这个算法的,可以先到百度百科看一下,Stein算法百度百科,此外,还要看看C语言实现的算法,百科那里的示例只有30行。1、算法步骤。那么,我把百科里面的算法步骤改写一下,让它更适合用verilog实现。a、先装载A和B的值,C清零。b、...

2018-06-04 15:31:37 509

转载 Verilog算法入门

一、什么是算法?算法就是按步就班地解决问题,也就是解决问题的办法。算法是个很泛的概念,并不是一定得包含高深的数学公式。二、用Verilog语言实现的算法跟C语言实现的算法有何区别?Verilog是完全可以自主地设计硬件,而C语言则是依赖于CPU,依赖于现成的硬件。Verilog做出来的算法执行速度往往比C的要快,这也是我们使用Verilog最好的理由。然而,较为复杂的算法,就很难使用Verilog...

2018-06-04 15:30:38 1181

转载 基于FPGA的cordic算法的verilog初步实现

 最近在看cordic算法,由于还不会使用matlab,真是痛苦,一系列的笔算才大概明白了这个算法是怎么回事。于是尝试用verilog来实现。用verilog实现之前先参考软件的程序,于是先看了此博文http://blog.csdn.net/liyuanbhu/article/details/8458769 也不截图了,因为怕图形被其他博客网站检测到后屏蔽图片,造成此博文无法正常阅读。阅读此博文,...

2018-06-04 15:21:40 722

转载 verilog算法小结(一)

1、RTL中基本上不用for语句,它会被综合器展开为所有变量情况的执行语句,每个变量独立占用寄存器资源,造成资源浪费。   For语句大多数用在testbeach中。   能复用的的处理模块尽量复用,即使所有操作都不能复用,也要用case语句展开处理。2、if—else if—else 应该避免使用,因为它综合出来会产生“优先级”,消耗资源。   if—if  、case是平行结构的,不产生“优先...

2018-06-04 15:19:13 1114

转载 该怎么写邮件给期刊编辑询问投稿状态?

对于论文发表经验还不是很多的科研作者来说,等期刊系统的状态更新总是很揪心,不晓得审稿要多久才能结束,想要发邮件向编辑询问,但又怕得罪编辑。前两天我又遇到这样焦虑的作者。这位作者通过官方网站的系统投稿,目前已经根据要求返还修改稿,之后状态变成了 with editor,没想到一停就是两个月过去,想向编辑询问进度但又不希望被编辑认为在催稿,不晓得该如何是好。由于 with editor 状态已经维持两...

2018-06-04 09:08:09 37552

Xilinx FPGA XDC约束技巧

Xilinx FPGA XDC约束技巧

2017-12-25

Optimizing parallel reduction in CUDA 规约优化文档

Optimizing parallel reduction in CUDA 规约优化文档

2017-12-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除