自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(8)
  • 收藏
  • 关注

原创 银行年利率

package com.hanyi;import java.util.Scanner;public class zuoye3 {public static void main(String[]args){int custNO;System.out.print("请输入本金: ");Scanner input=new Scanner(System.in);custNO=input.nextInt();System.out.println(“本金为:”+custNO);double First

2021-03-25 09:02:12 83

原创 100以内偶数和

第一种:whilepublic static void main(String[] args) {int i=1;int sum=0;while (i<=100){i++;if (i%20){sum=sum+i;}}System.out.println(“100以内的偶数和”+sum);}}第二种:do——whilepublic static void main(String[] args) {int i = 0;int sum = 0;do {i++;if (i

2021-03-25 08:58:26 346

原创 输入一批整数,输出其中的最大值和最小值,输入数字0时结束。

package com.hanyi;import java.util.Scanner;public class zuoye {public static void main(String[] args) {int num = 0;Scanner input =new Scanner(System.in);System.out.print(“请输入一个整数(输入0结束)”);num =input.nextInt();int max =num;int min =num;while (num!

2021-03-24 20:38:56 2567

原创 位整数,当输入1~7时,显示对应的英语星期缩写。

位整数,当输入1~7时,显示对应的英语星期缩写。package com.hanyi;////*2021.3.24//*Java作业//import java.util.Scanner;public class zuoye4 {public static void main(String[] args) {int num = 0;String bay ="";Scanner input =new Scanner(System.in);System.out.print(“请输入数字1~7

2021-03-24 20:37:33 350

原创 各硬件在OSI参考模型中的层次

集线器:1层–物理层交换机:2层–数据链路层路由器:3层–网络层网卡:既是物理层,也是数据链路层。1、2层调制解调器:3层–网络层物理层是实实在在的物理链路,负责将数据以比特流的方式发送、接收。集线器工作就在物理层,数据链路层,负责准备物理传输,CRC校验,错误通知,网络拓扑,流控等。 网卡、交换机工作就在数据链路层,网络层,负责管理网络地址,定位设备,决定路由。路由器、调制解调器工作就在网络层...

2020-10-18 21:57:33 299

原创 OSI模型 与 DOD模型的比较

OSI DOD应用层表示层 应用层 HTTP ;TELCET; FTP会话层传输层 传输层 TCP网络层 网际层 IP数据链路层 网络接口层物理层

2020-10-18 21:55:29 209

原创 TCP三次握手的简述

简述TCP协议的三次握手过程,以及序列号和确认号的作用。答:​(1)在TCP/IP协议中,TCP协议提供可靠的连接服务,采用三次握手建立一个连接.第一次握手:建立连接时,客户端发送syn包(syn=j)到服务器,并进入SYN_SEND状态,等待服务器确认;SYN:同步序列编号(Synchronize Sequence Numbers)第二次握手:服务器收到syn包,必须确认客户的SYN(ack=j+1),同时自己也发送一个SYN包(syn=k),即SYN+ACK包,此时服务器进入SYN_RECV状态

2020-10-18 21:52:09 592

原创 网络拓扑图

2020-10-18 21:50:55 96

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除