《手把手教你学51单片机-C语言》之十一--------UART串口通信

第11章 UART串口通信

       通信,按照传统的理解就是信息的传输与交换。对于单片机来说,通信则与传感器、存储芯片、外围控制芯片等技术紧密结合,成为整个单片机系统的“神经中枢”。没有通信,单片机所实现的功能仅仅局限于单片机本身,就无法通过其它设备获得有用信息,也无法将自己产生的信息告诉其它设备。如果单片机通信没处理好的话,它和外围器件的合作程度就受到限制,最终整个系统也无法完成强大的功能,由此可见单片机通信技术的重要性。UARTUniversal Asynchronous Receiver/Transmitter,即通用异步收发器)串行通信是单片机最常用的一种通信技术,通常用于单片机和电脑之间以及单片机和单片机之间的通信。


1.1 串行通信的初步认识

      通信按照基本类型可以分为并行通信和串行通信。并行通信时数据的各个位同时传送,可以实现字节为单位通信,但是通信线多占用资源多,成本高。比如我们前边用到的P0 = 0xFE;一次给P08IO口分别赋值,同时进行信号输出,类似于有8个车道同时可以过去8辆车一样,这种形式就是并行的,我们习惯上还称P0P1P2P351单片机的4组并行总线。


      而串行通信,就如同一条车道,一次只能一辆车过去,如果一个0xFE这样一个字节的数据要传输过去的话,假如低位在前高位在后的话,那发送方式就是0-1-1-1-1-1-1-1-1,一位一位的发送出去的,要发送8次才能发送完一个字节。


      STC89C52有两个引脚是专门用来做UART串行通信的,一个是P3.0一个是P3.1,它们还分别有另外的名字叫做RXDTXD,由它们组成的通信接口就叫做串行接口,简称串口。用两个单片机进行UART串口通信,基本的演示图如图11-1所示。

《手把手教你学51单片机-C语言》之十一--------UART串口通信 

11-1  单片机之间UART通信示意图

      图中,GND表示单片机系统电源的参考地,TXD是串行发送引脚,RXD是串行接收引脚。两个单片机之间要通信,首先电源基准得一样,所以我们要把两个单片机的GND相互连接起来,然后单片机1TXD引脚接到单片机2RXD引脚上,即此路为单片机1发送而单片机2接收的通道,单片机1RXD引脚接到单片机2TXD引脚上,即此路为单片机2发送而单片机1接收的通道。这个示意图就体现了两个单片机相互收发信息的过程。


      当单片机1想给单片机2发送数据时,比如发送一个0xE4这个数据,用二进制形式表示就是0b11100100,在UART通信过程中,是低位先发,高位后发的原则,那么就让TXD首先拉低电平,持续一段时间,发送一位0,然后继续拉低,再持续一段时间,又发送了一位0,然后拉高电平,持续一段时间,发了一位1……一直到把8位二进制数字0b11100100全部发送完毕。这里就涉及到了一个问题,就是持续的这“一段时间”到底是多久?由此便引入了通信中的一个重要概念——波特率,也叫做比特率。


      波特率就是发送二进制数据位的速率,习惯上用baud表示,即我们发送一位二进制数据的持续时间=1/baud。在通信之前,单片机1和单片机2首先都要明确的约定好它们之间的通信波特率,必须保持一致,收发双方才能正常实现通信,这一点大家一定要记清楚。


      约定好速度后,我们还要考虑第二个问题,数据什么时候是起始,什么时候是结束呢?不管是提前接收还是延迟接收,数据都会接收错误。在UART通信的时候,一个字节是8位,规定当没有通信信号发生时,通信线路保持高电平,当要发送数据之前,先发一位0表示起始位,然后发送8位数据位,数据位是先低后高的顺序,数据位发完后再发一位1表示停止位。这样本来要发送一个字节的8位数据,而实际上我们一共发送了10位,多出来的两位其中一位起始位,一位停止位。而接收方呢,原本一直保持的高电平,一旦检测到了一位低电平,那就知道了要开始准备接收数据了,接收到8位数据位后,然后检测到停止位,再准备下一个数据的接收。我们图示看一下,如图11-2所示。


《手把手教你学51单片机-C语言》之十一--------UART串口通信 

11-2  串口数据发送示意图


      图11-2串口数据发送示意图,实际上是一个时域示意图,就是信号随着时间变化的对应关系。比如在单片机的发送引脚上,左边的是先发生的,右边的是后发生的,数据位的切换时间就是波特率分之一秒,如果能够理解时域的概念,后边很多通信的时序图就很容易理解了。


1.2 RS232通信接口

      在我们的台式电脑上,一般都会有一个9针的串行接口,这个串行接口叫做RS232接口,它和UART通信有关联,但是由于现在笔记本电脑都不带这种9针串口了,所以和单片机通信越来越趋向于使用USB虚拟的串口,因此这一节的内容作为了解内容,大家知道有这么回事就行了。

      我们先来认识一下这个标准串口,在物理结构上分为9针的和9孔的,习惯上我们也称之为公头和母头,如图11-3所示。


《手把手教你学51单片机-C语言》之十一--------UART串口通信 

11-3  RS232通信接口


      RS232接口一共有9个引脚,分别定义是:1、载波检测DCD2、接收数据RXD3、发送数据TXD4、数据终端准备好DTR5、信号地线SG6、数据准备好DSR7、请求发送RTS8、清除发送CTS9、振铃提示RI。我们要让这个串口和我们单片机进行通信,我们只需要关心其中的2RXD3TXD5GND即可。


      虽然这三个引脚的名字和我们单片机上的串口名字一样,但是却不能直接和单片机对连通信,这是为什么呢?随着我们了解的内容越来越多,我们得慢慢知道,不是所有的电路都是5V代表高电平而0V代表低电平的。对于RS232标准来说,它是个反逻辑,也叫做负逻辑。为何叫负逻辑?它的TXDRXD的电压,-3V-15V电压代表是1+3+15V电压代表是0。低电平代表的是1,而高电平代表的是0,所以称之为负逻辑。因此电脑的9RS232串口是不能和单片机直接连接的,需要用一个电平转换芯片MAX232来完成,如图11-4所示。

《手把手教你学51单片机-C语言》之十一--------UART串口通信 

11-4  MAX232转接图


      这个芯片就可以实现把标准RS232串口电平转换成我们单片机能够识别和承受的UART 0V/5V电平。从这里大家似乎慢慢有点明白了,其实RS232串口和UART串口,它们的协议类型是一样的,只是电平标准不同而已,而MAX232这个芯片起到的就是中间人的作用,它把UART电平转换成RS232电平,也把RS232电平转换成UART电平,从而实现标准RS232接口和单片机UART之间的通信连接。


1.3 USB转串口通信

      随着技术的发展,工业上还有RS232串口通信的大量使用,但是商业技术的应用上,已经慢慢的使用USBUART技术取代了RS232串口,绝大多数笔记本电脑已经没有串口这个东西了,那我们要实现单片机和电脑之间的通信该怎么办呢?


      我们只需要在电路上添加一个USB转串口芯片,就可以成功实现USB通信协议和标准UART串行通信协议的转换,在我们的开发板上,我们使用的是CH340T这个芯片,如图11-5所示。

《手把手教你学51单片机-C语言》之十一--------UART串口通信 

11-5  USB转串口电路

      图中左下方J1J2是两个跳线的组合,大家可以在我们板子左下方的位置找到,我们需要用跳线帽把中间和下边的针短接在一起。右侧的CH340T这个电路很简单,把电源、晶振接好后,6脚和7脚的DPDM分别接USB口的2个数据引脚上去,3脚和4脚通过跳线接到了我们单片机的TXDRXD上去。


      CH340T的电路里3脚位置加了个4148的二极管,是一个小技巧。因为STC89C52这个单片机下载程序时需要冷启动,就是先点下载后上电,上电瞬间单片机会先检测需要不需要下载程序。虽然单片机的VCC是由开关来控制,但是由于CH340T3脚是输出引脚,如果没有此二极管,开关后级单片机在断电的情况下,CH340T3脚和单片机的P3.0(即RXD)引脚连在一起,有电流会通过这个引脚流入后级电路并且给后级的电容充电,造成后级有一定幅度的电压,这个电压值虽然只有两三伏左右,但是可能会影响到正常的冷启动。加了二极管后,一方面不影响通信,另外一个方面还可以消除这种不良影响。这个地方可以暂时作为了解,大家如果自己做这类电路,可以参考一下。


1.4 IO口模拟UART串口通信

      为了让大家充分理解UART串口通信的原理,我们先把P3.0P3.1当做IO口来进行模拟实际串口通信的过程,原理搞懂后,我们再使用寄存器配置实现串口通信过程。


      对于UART串口波特率,常用的值是30060012002400480096001440019200288003840057600115200等速率。IO口模拟UART串行通信程序是一个简单的演示程序,我们使用串口调试助手下发一个数据,数据加1后,再自动返回。


      串口调试助手,这里我们直接使用STC-ISP软件自带的串口调试助手,先把串口调试助手的使用给大家说一下,如图11-6所示。第一步要选择串口助手菜单,第二步选择十六进制显示,第三步选择十六进制发送,第四步选择COM口,这个COM口要和自己电脑设备管理器里的那个COM口一致,波特率按我们程序设定好的选择,我们程序中让一个数据位持续时间是1/9600秒,那这个地方选择波特率就是选9600,校验位选N,数据位8,停止位1

《手把手教你学51单片机-C语言》之十一--------UART串口通信 

11-6  串口调试助手示意图


串口调试助手的实质就是利用电脑上的UART通信接口,发送数据给我们的单片机,也可以把我们的单片机发送的数据接收到这个调试助手界面上。

因为初次接触通信方面的技术,所以我把后面的IO模拟串口通信程序进行一下解释,大家可以边看我的解释边看程序,把底层原理先彻底弄懂。


      变量定义部分就不用说了,直接看main主函数。首先是对通信的波特率的设定,在这里我们配置的波特率是9600,那么串口调试助手也得是9600。配置波特率的时候,我们用的是定时器T0的模式2。模式2中,不再是TH0代表高8位,TL0代表低8位了,而只有TL0在进行计数,当TL0溢出后,不仅仅会让TF01,而且还会将TH0中的内容重新自动装到TL0中。这样有一个好处,就是我们可以把想要的定时器初值提前存在TH0中,当TL0溢出后,TH0自动把初值就重新送入TL0了,全自动的,不需要程序中再给TL0重新赋值了,配置方式很简单,大家可以自己看下程序并且计算一下初值。


      波特率设置好以后,打开中断,然后等待接收串口调试助手下发的数据。接收数据的时候,首先要进行低电平检测while (PIN_RXD),若没有低电平则说明没有数据,一旦检测到低电平,就进入启动接收函数StartRXD()。接收函数最开始启动半个波特率周期,初学可能这里不是很明白。大家回头看一下我们的图11-2里边的串口数据示意图,如果在数据位电平变化的时候去读取,因为时序上的误差以及信号稳定性的问题很容易读错数据,所以我们希望在信号最稳定的时候去读数据。除了信号变化的那个沿的位置外,其它位置都很稳定,那么我们现在就约定在信号中间位置去读取电平状态,这样能够保证我们读的一定是正确的。


      一旦读到了起始信号,我们就把当前状态设定成接收状态,并且打开定时器中断,第一次是半个周期进入中断后,对起始位进行二次判断一下,确认一下起始位是低电平,而不是一个干扰信号。以后每经过1/9600秒进入一次中断,并且把这个引脚的状态读到RxdBuf里边。等待接收完毕之后,我们再把这个RxdBuf1,再通过TXD引脚发送出去,同样需要先发一位起始位,然后发8个数据位,再发结束位,发送完毕后,程序运行到while (PIN_RXD),等待第二轮信号接收的开始。

#include <reg52.h>

 

sbit PIN_RXD = P3^0;  //接收引脚定义

sbit PIN_TXD = P3^1;  //发送引脚定义

 

bit RxdOrTxd = 0;  //指示当前状态为接收还是发送

bit RxdEnd = 0;    //接收结束标志

bit TxdEnd = 0;    //发送结束标志

unsigned char RxdBuf = 0;  //接收缓冲器

unsigned char TxdBuf = 0;  //发送缓冲器

 

void ConfigUART(unsigned int baud);

void StartTXD(unsigned char dat);

void StartRXD();

 

void main()

{

    EA = 1;   //开总中断

    ConfigUART(9600);  //配置波特率为9600

    

    while (1)

    {

        while (PIN_RXD);     //等待接收引脚出现低电平,即起始位

        StartRXD();           //启动接收

        while (!RxdEnd);     //等待接收完成

        StartTXD(RxdBuf+1); //接收到的数据+1后,发送回去

        while (!TxdEnd);     //等待发送完成

    }

}

/* 串口配置函数,baud-通信波特率 */

void ConfigUART(unsigned int baud)

{

    TMOD &= 0xF0;   //清零T0的控制位

    TMOD |= 0x02;   //配置T0为模式2

    TH0 = 256 - (11059200/12)/baud;  //计算T0重载值

}

/* 启动串行接收 */

void StartRXD()

{

    TL0 = 256 - ((256-TH0)>>1);  //接收启动时的T0定时为半个波特率周期

    ET0 = 1;         //使能T0中断

    TR0 = 1;         //启动T0

    RxdEnd = 0;     //清零接收结束标志

    RxdOrTxd = 0;   //设置当前状态为接收

}

/* 启动串行发送,dat-待发送字节数据 */

void StartTXD(unsigned char dat)

{

    TxdBuf = dat;    //待发送数据保存到发送缓冲器

    TL0 = TH0;       //T0计数初值为重载值

    ET0 = 1;         //使能T0中断

    TR0 = 1;         //启动T0

    PIN_TXD = 0;    //发送起始位

    TxdEnd = 0;     //清零发送结束标志

    RxdOrTxd = 1;   //设置当前状态为发送

}

/* T0中断服务函数,处理串行发送和接收 */

void InterruptTimer0() interrupt 1

{

    static unsigned char cnt = 0; //位接收或发送计数

 

    if (RxdOrTxd)  //串行发送处理

    {

        cnt++;

        if (cnt <= 8)  //低位在先依次发送8bit数据位

        {

            PIN_TXD = TxdBuf & 0x01;

            TxdBuf >>= 1;

        }

        else if (cnt == 9)  //发送停止位

        {

            PIN_TXD = 1;

        }

        else  //发送结束

        {

            cnt = 0;     //复位bit计数器

            TR0 = 0;     //关闭T0

            TxdEnd = 1; //置发送结束标志

        }

    }

    else  //串行接收处理

    {

        if (cnt == 0)     //处理起始位

        {

            if (!PIN_RXD) //起始位为0时,清零接收缓冲器,准备接收数据位

            {

                RxdBuf = 0;

                cnt++;

            }

            else           //起始位不为0时,中止接收

            {

                TR0 = 0;  //关闭T0

            }

        }

        else if (cnt <= 8)   //处理8位数据位

        {

            RxdBuf >>= 1;    //低位在先,所以将之前接收的位向右移

            if (PIN_RXD)     //接收脚为1时,缓冲器最高位置1

            {                  //而为0时不处理即仍保持移位后的0

                RxdBuf |= 0x80;

            }

            cnt++;

        }

        else  //停止位处理

        {

            cnt = 0;          //复位bit计数器

            TR0 = 0;          //关闭T0

            if (PIN_RXD)     //停止位为1时,方能认为数据有效

            {

                RxdEnd = 1;  //置接收结束标志

            }

        }

    }

}

1.1 UART串口通信的基本应用

1.1.1 通信的三种基本类型

      常用的通信从传输方向上可以分为单工通信、半双工通信、全双工通信三类。

      单工通信就是指只允许一方向另外一方传送信息,而另一方不能回传信息。比如电视遥控器、收音机广播等,都是单工通信技术。

     半双工通信是指数据可以在双方之间相互传播,但是同一时刻只能其中一方发给另外一方,比如我们的对讲机就是典型的半双工。

      全双工通信就发送数据的同时也能够接收数据,两者同步进行,就如同我们的电话一样,我们说话的同时也可以听到对方的声音。


1.1.2 UART模块介绍

      IO口模拟串口通信,让大家了解了串口通信的本质,但是我们的单片机程序却需要不停的检测扫描单片机IO口收到的数据,大量占用了单片机的运行时间。这时候就会有聪明人想了,其实我们并不是很关心通信的过程,我们只需要一个通信的结果,最终得到接收到的数据就行了。这样我们可以在单片机内部做一个硬件模块,让它自动接收数据,接收完了,通知我们一下就可以了,我们的51单片机内部就存在这样一个UART模块,要正确使用它,当然还得先把对应的特殊功能寄存器配置好。


      51单片机的UART串口的结构由串行口控制寄存器SCON、发送和接收电路三部分构成,先来了解一下串口控制寄存器SCON。如表11-1表11-2所示。

                                    表11-1  SCON——串行控制寄存器的位分配(地址0x98、可位寻址)

7

6

5

4

3

2

1

0

符号

SM0

SM1

SM2

REN

TB8

RB8

TI

RI

复位值

0

0

0

0

0

0

0

0

    

                                                    表11-2  SCON——串行控制寄存器的位描述

符号

描述

7

SM0

这两位共同决定了串口通信的模式0~模式3共4种模式我们最常用的就是模式1,也就是SM0=0,SM1=1,下边我们重点就讲模式1,其它模式从略。

6

SM1

5

SM2

多机通信控制位(极少用),模式1直接清零。

4

REN

使能串行接收。由软件置位使能接收,软件清零则禁止接收。

3

TB8

模式2和3中要发送的第9位数据(很少用)。

2

RB8

模式2和3中接收到的第9位数据(很少用),模式1用来接收停止位。

1

TI

发送中断标志位,当发送电路发送到停止位的中间位置时,TI由硬件置1,必须通过软件清零。

0

RI

接收中断标志位,当接收电路接收到停止位的中间位置时,RI由硬件置1,必须通过软件清零。

    

      前边学了那么多寄存器的配置,相信SCON这个地方,对于大多数同学来说已经不是难点了,应该能看懂并且可以自己配置了。对于串口的四种模式,模式1是最常用的,就是我们前边提到的1位起始位,8位数据位和1位停止位。下面我们就详细介绍模式1的工作细节和使用方法,至于其它3种模式与此也是大同小异,真正遇到需要使用的时候大家再去查阅相关资料就行了。


      在我们使用IO口模拟串口通信的时候,串口的波特率是使用定时器T0的中断体现出来的。在硬件串口模块中,有一个专门的波特率发生器用来控制发送和接收数据的速度。对于STC89C52单片机来讲,这个波特率发生器只能由定时器T1或定时器T2产生,而不能由定时器T0产生,这和我们模拟的通信是完全不同的概念。


      如果用定时器2,需要配置额外的寄存器,默认是使用定时器1的,我们本章内容主要就使用定时器T1作为波特率发生器来讲解,方式1下的波特率发生器必须使用定时器T1的模式2,也就是自动重装载模式,定时器的重载值计算公式为:

      TH1 = TL1 = 256 - 晶振值/12 /2/16 /波特率

      

      和波特率有关的还有一个寄存器,是一个电源管理寄存器PCON,他的最高位可以把波特率提高一倍,也就是如果写PCON |= 0x80以后,计算公式就成了:

    TH1 = TL1 = 256 - 晶振值/12 /16 /波特率


      公式中数字的含义这里解释一下,256是8位定时器的溢出值,也就是TL1的溢出值,晶振值在我们的开发板上就是11059200,12是说1个机器周期等于12个时钟周期,值得关注的是这个16,我们来重点说明。在IO口模拟串口通信接收数据的时候,采集的是这一位数据的中间位置,而实际上串口模块比我们模拟的要复杂和精确一些。他采取的方式是把一位信号采集16次,其中第7、8、9次取出来,这三次中其中两次如果是高电平,那么就认定这一位数据是1,如果两次是低电平,那么就认定这一位是0,这样一旦受到意外干扰读错一次数据,也依然可以保证最终数据的正确性。


      了解了串口采集模式,在这里要给大家留一个思考题。“晶振值/12/2/16/波特率”这个地方计算的时候,出现不能除尽,或者出现小数怎么办,允许出现多大的偏差?把这部分理解了,也就理解了我们的晶振为何使用11.0592M了。


      串口通信的发送和接收电路在物理上有2个名字相同的SBUF寄存器,它们的地址也都是0x99,但是一个用来做发送缓冲,一个用来做接收缓冲。意思就是说,有2个房间,两个房间的门牌号是一样的,其中一个只出人不进人,另外一个只进人不出人,这样的话,我们就可以实现UART的全双工通信,相互之间不会产生干扰。但是在逻辑上呢,我们每次只操作SBUF,单片机会自动根据对它执行的是“读”还是“写”操作来选择是接收SBUF还是发送SBUF,后边通过程序,我们就会彻底了解这个问题。


1.1.3 UART串口程序

      一般情况下,我们编写串口通信程序的基本步骤如下所示:

1、配置串口为模式1。

2、配置定时器T1为模式2,即自动重装模式。

3、根据波特率计算TH1和TL1的初值,如果有需要可以使用PCON进行波特率加倍。

4、打开定时器控制寄存器TR1,让定时器跑起来。


      这里还要特别注意一下,就是在使用T1做波特率发生器的时候,千万不要再使能T1的中断了。

我们先来看一下由IO口模拟串口通信直接改为使用硬件UART模块时的程序代码,看看程序是不是简单了很多,因为大部分的工作硬件模块都替我们做了。程序功能和IO口模拟的是完全一样的。


#include <reg52.h>

void ConfigUART(unsigned int baud);

 

void main()

{

    ConfigUART(9600);  //配置波特率为9600

    

    while (1)

    {

        while (!RI);      //等待接收完成

        RI = 0;            //清零接收中断标志位

        SBUF = SBUF + 1; //接收到的数据+1后,发送回去

        while (!TI);      //等待发送完成

        TI = 0;            //清零发送中断标志位

    }

}

/* 串口配置函数,baud-通信波特率 */

void ConfigUART(unsigned int baud)

{

    SCON  = 0x50;  //配置串口为模式1

    TMOD &= 0x0F;  //清零T1的控制位

    TMOD |= 0x20;  //配置T1为模式2

    TH1 = 256 - (11059200/12/32)/baud;  //计算T1重载值

    TL1 = TH1;     //初值等于重载值

    ET1 = 0;       //禁止T1中断

    TR1 = 1;       //启动T1

}


      当然了,这个程序还是用在主循环里等待接收中断标志位和发送中断标志位的方法来编写的,而实际工程开发中,当然就不能这么干了,我们也只是为了用直观的对比来告诉同学们硬件模块可以大大简化程序代码,那么实际使用串口的时候就用到串口中断了,来看一下用中断实现的程序。请注意一点,因为接收和发送触发的是同一个串口中断,所以在串口中断函数中就必须先判断是哪种中断,然后再作出相应的处理。


#include <reg52.h>

void ConfigUART(unsigned int baud);

 

void main()

{

    EA = 1;   //使能总中断

    ConfigUART(9600);  //配置波特率为9600

    while (1);

}

/* 串口配置函数,baud-通信波特率 */

void ConfigUART(unsigned int baud)

{

    SCON  = 0x50;  //配置串口为模式1

    TMOD &= 0x0F;  //清零T1的控制位

    TMOD |= 0x20;  //配置T1为模式2

    TH1 = 256 - (11059200/12/32)/baud;  //计算T1重载值

    TL1 = TH1;     //初值等于重载值

    ET1 = 0;       //禁止T1中断

    ES  = 1;       //使能串口中断

    TR1 = 1;       //启动T1

}

/* UART中断服务函数 */

void InterruptUART() interrupt 4

{

    if (RI)  //接收到字节

    {

        RI = 0;   //手动清零接收中断标志位

        SBUF = SBUF + 1;  //接收的数据+1后发回,左边是发送SBUF,右边是接收SBUF

    }

    if (TI)  //字节发送完毕

    {

        TI = 0;   //手动清零发送中断标志位

    }

}

      大家可以试验一下,看看是不是和前边用IO口模拟通信实现的效果一致,而主循环却完全空出来了,我们就可以随意添加其它功能代码进去。

1.2 通信实例与ASCII码

      我们学习串口通信主要是要实现单片机和电脑之间的信息交互,可以用电脑控制单片机的一些信息,可以把单片机的一些信息状况发给电脑上的软件。下面我们就做一个简单的例程,实现单片机串口调试助手发送的数据,在我们开发板上的数码管上显示出来。

#include <reg52.h>

 

sbit ADDR3 = P1^3;

sbit ENLED = P1^4;

 

unsigned char code LedChar[] = {  //数码管显示字符转换表

    0xC0, 0xF9, 0xA4, 0xB0, 0x99, 0x92, 0x82, 0xF8,

    0x80, 0x90, 0x88, 0x83, 0xC6, 0xA1, 0x86, 0x8E

};

unsigned char LedBuff[7] = {  //数码管+独立LED显示缓冲区

    0xFF, 0xFF, 0xFF, 0xFF, 0xFF, 0xFF, 0xFF

};

unsigned char T0RH = 0;  //T0重载值的高字节

unsigned char T0RL = 0;  //T0重载值的低字节

unsigned char RxdByte = 0;  //串口接收到的字节

 

void ConfigTimer0(unsigned int ms);

void ConfigUART(unsigned int baud);

 

void main()

{

    EA = 1;        //使能总中断

    ENLED = 0;    //选择数码管和独立LED

    ADDR3 = 1;

    ConfigTimer0(1);   //配置T0定时1ms

    ConfigUART(9600);  //配置波特率为9600

    

    while (1)

    {   //将接收字节在数码管上以十六进制形式显示出来

        LedBuff[0] = LedChar[RxdByte & 0x0F];

        LedBuff[1] = LedChar[RxdByte >> 4];

    }

}

/* 配置并启动T0,ms-T0定时时间 */

void ConfigTimer0(unsigned int ms)

{

    unsigned long tmp;  //临时变量

    

    tmp = 11059200 / 12;       //定时器计数频率

    tmp = (tmp * ms) / 1000;  //计算所需的计数值

    tmp = 65536 - tmp;         //计算定时器重载值

    tmp = tmp + 13;            //补偿中断响应延时造成的误差

    T0RH = (unsigned char)(tmp>>8);  //定时器重载值拆分为高低字节

    T0RL = (unsigned char)tmp;

    TMOD &= 0xF0;   //清零T0的控制位

    TMOD |= 0x01;   //配置T0为模式1

    TH0 = T0RH;     //加载T0重载值

    TL0 = T0RL;

    ET0 = 1;        //使能T0中断

    TR0 = 1;        //启动T0

}

/* 串口配置函数,baud-通信波特率 */

void ConfigUART(unsigned int baud)

{

    SCON  = 0x50;  //配置串口为模式1

    TMOD &= 0x0F;  //清零T1的控制位

    TMOD |= 0x20;  //配置T1为模式2

    TH1 = 256 - (11059200/12/32)/baud;  //计算T1重载值

    TL1 = TH1;     //初值等于重载值

    ET1 = 0;       //禁止T1中断

    ES  = 1;       //使能串口中断

    TR1 = 1;       //启动T1

}

/* LED动态扫描刷新函数,需在定时中断中调用 */

void LedScan()

{

    static unsigned char i = 0;  //动态扫描索引

    

    P0 = 0xFF;               //关闭所有段选位,显示消隐

    P1 = (P1 & 0xF8) | i;  //位选索引值赋值到P1口低3位

    P0 = LedBuff[i];        //缓冲区中索引位置的数据送到P0口

    if (i < 6)               //索引递增循环,遍历整个缓冲区

        i++;

    else

        i = 0;

}

/* T0中断服务函数,完成LED扫描 */

void InterruptTimer0() interrupt 1

{

    TH0 = T0RH;  //重新加载重载值

    TL0 = T0RL;

    LedScan();   //LED扫描显示

}

/* UART中断服务函数 */

void InterruptUART() interrupt 4

{

    if (RI)  //接收到字节

    {

        RI = 0;  //手动清零接收中断标志位

        RxdByte = SBUF;  //接收到的数据保存到接收字节变量中

        SBUF = RxdByte;  //接收到的数据又直接发回,叫作-"echo",

                            //用以提示用户输入的信息是否已正确接收

    }

    if (TI)  //字节发送完毕

    {

        TI = 0;  //手动清零发送中断标志位

    }

}

      大家在做这个实验的时候,有个小问题要注意一下。因为STC89C52下载程序是使用了UART串口下载,下载完程序后,程序运行起来了,可是下载软件最后还会通过串口发送一些额外的数据,所以程序刚下载进去不是显示00,而可能是其他数据。大家只要把电源开关关闭,重新打开一次就好了。

 细心的同学可能会发现,在串口调试助手发送选项和接收选项处,还有个“字符格式发送”和“字符格式显示”,这是什么意思呢?


      先抛开我们使用的汉字不谈,那么我们常用的字符就包含了0~9的数字、A~Z/a~z的字母、还有各种标点符号等。那么在单片机系统里面我们怎么来表示它们呢?ASCII码(American Standard Code for Information Interchange,即美国信息互换标准代码)可以完成这个使命:我们知道,在单片机中一个字节的数据可以有0255256个值,我们取其中的0127128个值赋予了它另外一层涵义,即让它们分别来代表一个常用字符,其具体的对应关系如表11-3所示。

                                                                                      11-3  ASCII码字符表


      对照上述表格,我们就可以实现字符和数字之间的转换了,比如还是这个程序,我们发送的时候改成字符格式发送,接收还是用十六进制接收,这样接收和数码管好做一下对比。这样我们就在常用字符和字节数据之间建立了一一对应的关系,那么现在一个字节就既可以代表一个整数又可以代表一个字符了,但它本质上只是一个字节的数据,而我们赋予了它不同的涵义,什么时候赋予它哪种涵义就看编程者的意图了。 ASCII 码在单片机系统中应用非常广泛,我们后续的课程也会经常使用到它,下面我们来对它做一个直观的认识,同学们一定要深刻理解其本质。


      我们用字符格式发送一个小写的a,返回一个十六进制的0x61,数码管上显示的也是61ASCII码表里字符a对应十进制是97,等于十六进制的0x61;我们再用字符格式发送一个数字1,返回一个十六进制的0x31,数码管上显示的也是31ASCII表里字符1对应的十进制是49,等于十六进制的0x31。这下大家就该清楚了:所谓的十六进制发送和十六进制接收,都是按字节数据的真实值进行的;而字符格式发送和字符格式接收,是按ASCII码表中字符形式进行的,但它实际上最终传输的还是一个字节数据。这个表格,当然不需要大家去记住,理解它,用的时候过来查就行了。


      通信的学习,不像前边控制部分那么直观了,通信部分我们的程序只能获得一个结果,而其过程我们却无法直接看到,所以慢慢的可能大家就会知道有示波器和逻辑分析仪这类测量仪器。如果学校实验室或者公司里有示波器或者逻辑分析仪这类仪器,可以拿过来抓一下串口波形,直观的了解一下。如果暂时还没有这些仪器,先知道这么回事,有条件再说。因为工具类设备有的比较昂贵,有条件可以尽量使用学校或者公司的。在这里我用一款简易的逻辑分析仪把串口通信的波形抓出来给大家看一下,大家了解一下即可,如图11-7所示。


 

11-7  逻辑分析仪串口数据示意图


      分析仪和示波器的作用,就是把通信过程的波形抓出来进行分析。先大概说一下波形的意思。波形左边是低位,右边是高位,上边这个波形是电脑发送给单片机的,下边这个波形是单片机回发给电脑的。以上边的波形为例,左边第一位是起始位0,从低位到高位依次是10001100,顺序倒一下,就是数据0x31,也就是ASCII码表里的‘1’。大家可以注意到分析仪在每个数据位都给标了一个白色的点,表示是数据,起始位和无数据的时候都没有这个白点。时间标T1T2的差值在右边显示出来是0.102ms,大概是9600分之一,稍微有点偏差,在容许范围内即可。通过图11-7,我们可以清晰的了解了串口通信的收发的详细过程。


       那我们这里再来了解一下,如果我们使用串口调试助手,用字符格式直接发送一个“12”,我们在我们的数码管上应该显示什么呢?串口调试助手应该返回什么呢?经过试验发现,我们数码管显示的是32,而串口调试助手返回十六进制显示的是3132两个数据,如图11-8所示。

 

11-8  串口调试助手数据显示

      我们再用逻辑分析仪把这个数据抓出来看一下,如图11-9所示。

 

11-9  逻辑分析仪抓取数据


      对于ASCII码表来说,数字本身是字符而非数据,所以如果发送“12”的话,实际上是是分别发送了“1”和“2”两个字符,单片机呢,先收到第一个字符“1”,在数码管上会显示出31这个对应数字,但是马上就又收到了“2”这个字符,数码管瞬间从31变成了32,而我们视觉上呢,是没有办法发现这种快速变化的,所以我们感觉数码管直接显示的是32

1.1 练习题

1、能够理解UART串口通信的基本原理和通信过程。

2、通过IO口模拟UART串口通信把通信的底层操作原理弄明白。

3、学会通过配置寄存器,实现串口通信的基本操作过程。

4、了解字符和数据之间的转换依据和方法。

5、完成通过串口控制流水灯流动和停止的程序。

6、完成通过串口实现蜂鸣器鸣叫的程序。


  • 6
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
以下是一个简单的遵循DL/T645-2007通信规约的51单片机C语言程序,可以用于读取电表数据: ```c #include <reg51.h> #include <stdio.h> #define uchar unsigned char #define uint unsigned int sbit RS485_EN = P1^0; // RS485使能控制引脚 void init_uart() { TMOD = 0x20; SCON = 0x50; PCON = 0x80; TH1 = 0xFD; TL1 = 0xFD; TR1 = 1; } void init_rs485() { RS485_EN = 0; } void send_byte(uchar dat) { SBUF = dat; while (!TI); TI = 0; } uchar recv_byte() { uchar dat; while (!RI); dat = SBUF; RI = 0; return dat; } void send_frame(uchar *buf, uint len) { uint i; RS485_EN = 1; for (i = 0; i < len; i++) { send_byte(buf[i]); } RS485_EN = 0; } uint recv_frame(uchar *buf, uint len) { uint i = 0; while (i < len) { buf[i] = recv_byte(); if (i == 0 && buf[0] != 0x68) { continue; } i++; if (buf[0] == 0x68 && i == 12) { break; } if (buf[0] == 0x10 && i == 2) { break; } } return i; } void read_meter() { uchar cmd[] = {0xFE, 0xFE, 0xFE, 0xFE, 0xFE, 0xFE, 0x68, 0x11, 0x04, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x16}; uchar buf[256]; uint len; send_frame(cmd, sizeof(cmd)); len = recv_frame(buf, sizeof(buf)); if (len > 0 && buf[0] == 0x68 && buf[2] == 0x91 && buf[21] == 0x16) { uint meter_value = buf[15] << 24 | buf[14] << 16 | buf[13] << 8 | buf[12]; printf("Meter Value: %u\n", meter_value); } } void main() { init_uart(); init_rs485(); while (1) { read_meter(); delay(500); } } ``` 该程序使用51单片机自带的UART模块和GPIO控制RS485芯片,发送读取电表数据的命令,接收电表返回的数据,并打印出来。你可以根据自己的实际情况修改串口波特率及RS485芯片使能控制引脚等参数。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值