Verilog 变量初始化

初始化主要是针对FPGA内部有记忆的单元,例如寄存器、BLOCK RAM等,而对于无记忆的单元,例如wire,没有必要也无法对它们赋初值。

方法:

  1. always来时钟,用复位信号rst控制(通常用方法1)
  2. 定义时直接赋值,通常为0(没有复位信号时用方法2)

示例:用计数器生成复位信号,将寄存器变量初始化为零:

//复位:1;工作:0
module test(
refclk,rst
);
input wire refclk;
output reg rst;
reg [2:0] time_cnt =
  • 8
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值