(Xilinx)FPGA中的差分信号

LVDS

LVDS:Low Voltage Differential Signaling,低电压差分信号。不同电路系统之间的高速信号传送都可以应用低压差分传送技术来实现,LVDS传输支持速率一般在155Mbps(大约为77MHZ)以上,可以实现几百Mbps至几Gbps速率的传输。
LVDS信号相对于单端的传送具有较高的噪声抑制功能,其较低的电压摆幅和低电流驱动输出允许差分对线具有较高的数据传输速率,消耗较小的功率以及产生更低的电磁辐射。
差分信号抗噪特性
从差分信号传输线路上可以看出,若是理想状况,线路没有干扰时,
在发送侧,可以形象理解为:
IN= IN+ — IN-
在接收侧,可以理解为:
IN+ — IN- =OUT
所以:
OUT = IN
在实际线路传输中,线路存在干扰,并且同时出现在差分线对上,
在发送侧,仍然是:
IN = IN+ — IN-
假设干扰为q,线路传输干扰同时存在于差分对上,,则接收则:
(IN+ + q) — (IN- + q) = IN+ — IN- = OUT
所以:
OUT = IN
噪声被抑止掉。 上述可以形象理解差分方式抑止噪声的能力。
在这里插入图片描述
美国国家半导体的《LVDS用户手册》P9

Xilinx的差分原语

原语,其英文名字为Primitive,是Xilinx针对其器件特征开发的一系列常用模块的名字,用户可以将其看成Xilinx公司为用户提供的库函数,类似于C++中的“cout”等关键字,是芯片中的基本元件,代表FPGA中实际拥有的硬件逻辑单元,如LUT,D触发器,RAM等,相当于软件中的机器语言。在实现过程中的翻译步骤时,要将所有的设计单元都转译为目标器件中的基本元件,否则就是不可实现的。原语在设计中可以直接例化使用,是最直接的代码输入方式,其和HDL语言的关系,类似于汇编语言和C语言的关系。
1)IBUFDS (Differential Signal Input Buffer)
IBUFDS原语用于将差分输入信号转化成标准单端信号,且可加入可选延迟。在IBUFDS原语中,输入信号为I、IB,一个为主,一个为从,二者相位相反。

IBUFDS的逻辑真值表所列,其中“-*”表示输出维持上一次的输出值,保持不变。
在这里插入图片描述
IBUFDS原语的例化代码模板如下所示:

// IBUFDS: 差分输入缓冲器(Differential Input Buffer) 
// 适用芯片:Virtex-II/II-Pro/4, Spartan-3/3E 
// Xilinx HDL库向导版本,ISE 9.1 
IBUFDS #( 
.DIFF_TERM("FALSE"), 
// 差分终端,只有Virtex-4系列芯片才有,可设置为True/Flase 
.IOSTANDARD("DEFAULT") 
// 指定输入端口的电平标准,如果不确定,可设为DEFAULT 
) IBUFDS_inst ( 
.O(O), // 时钟缓冲输出 
.I(I), // 差分时钟的正端输入,需要和顶层模块的端口直接连接 
.IB(IB) // 差分时钟的负端输入,需要和顶层模块的端口直接连接 
); 
// 结束IBUFDS模块的例化过程

在综合结果分析时,IBUFDS的RTL结构如图所示。
在这里插入图片描述
2) OBUFDS
OBUFDS将标准单端信号转换成差分信号输出,输出端口需要直接对应到顶层模块的输出信号,和IBUFDS为一对互逆操作。OBUFDS原语的真值表如表所列。
在这里插入图片描述
OBUFDS原语的例化代码模板如下所示:

// OBUFDS: 差分输出缓冲器(Differential Output Buffer) 
// 适用芯片:Virtex-II/II-Pro/4, Spartan-3/3E 
// Xilinx HDL库向导版本,ISE 9.1 
OBUFDS #( 
.IOSTANDARD("DEFAULT") 
// 指名输出端口的电平标准 
) OBUFDS_inst ( 
.O(O), // 差分正端输出,直接连接到顶层模块端口 
.OB(OB), // 差分负端输出,直接连接到顶层模块端口 
.I(I) // 缓冲器输入 
); 
// 结束OBUFDS模块的例化过程

在综合结果分析时,OBUFDS原语的RTL结构如图所示。
在这里插入图片描述
3) IBUFGDS Input buffer global clock DS

差分输入时钟专用的缓冲器

参考资料
碎碎思 OpenFPGA
https://mp.weixin.qq.com/s/JTSm6rBxAauB6R6bQ7X08Q

  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值