用D触发器实现时钟分频

核心思路:用D触发器构成移位寄存器,输出循环序列

2倍分频

最简单,只用生成01的循环脉冲

always @ (posedge clk or posedge rst)
begin
if (rst)
O_clk <= 0;
else
O_clk <= ~O_clk;
end

9倍分频

输出000001111循环脉冲,实现占空比非50%的9分频。

如果要求占空比为50%的9分频电路,就用下降沿的D触发器打一拍,将两个信号相或后输出即可。
在这里插入图片描述

禾赛科技手撕代码 50%占空比 3分频
在这里插入图片描述

  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值