(15)QuartusII 17.1开发流程(FPGA不积跬步101)

54 篇文章 18 订阅 ¥99.90 ¥299.90
本文详细介绍了使用QuartusII 17.1进行Intel FPGA开发的完整流程,从工程建立、逻辑设计、逻辑综合、引脚分配、逻辑编译到逻辑下载,每个步骤都有清晰的操作说明。通过8位计数器的设计实例,阐述了Verilog HDL文件的创建和综合,以及如何进行引脚分配和全编译。最后,作者指出Xilinx FPGA和Intel FPGA的开发流程大体相似,主要区别在于所用的开发软件。
摘要由CSDN通过智能技术生成

名言:持续学习使你成为一个成熟的完整人!!!

引言:一直从事Xilinx FPGA开发,开发软件有vivado 、ISE、modelsim等,对于Intel FPGA开发项目较少,QuartusII软件基本不会使用。最近项目应用到intel FPGA CPLD进行相关芯片使能控制,刚好梳理一下QuartusII开发流程。

1 Intel FPGA开发步骤

1)工程建立:建立与您的开发平台相对应的工程。

2)逻辑设计:包括很多设计手段,如AHDL、VHDL、Verilog HDL、原理图等,一般采用硬件语言进行逻辑设计。

3)逻辑综合:逻辑综合用于宏观上您设计的逻辑判断是否有错(基本语法检查),同时分析出逻辑设计中的IO引脚,以便后续进行IO引脚的分配。

4)引脚分配:将逻辑设计中的IO分配到实际器件中的IO引脚。<

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值