译码器___

1.原理

理解一下:这里译码器就是输入二进制数,二进制数等于几,输出就那一位等于1。

2.代码

2.1  decoder.v

module decoder
(
	input wire 			in_1,
	input wire			in_2,
	input wire 			in_3,
	
	output	reg[7:0]	out		
);

/* always@(*)
	if({in_1,in_2,in_3}==3'b000)
		out=8'b0000_0001;
		
	else if({in_1,in_2,in_3}==3'b001)
		out=8'b0000_0010;
		
	else if({in_1,in_2,in_3}==3'b010)
		out=8'b0000_0100;
		
	else if({in_1,in_2,in_3}==3'b011)
		out=8'b0000_1000;
		
	else if({in_1,in_2,in_3}==3'b100)
		out=8'b0001_0000;
		
	else if({in_1,in_2,in_3}==3'b101)
		out=8'b0010_0000;
	
	else if({in_1,in_2,in_3}==3'b110)
		out=8'b0100_0000;
		
	else if({in_1,in_2,in_3}==3'b111)
		out=8'b1000_0000;
	
	else
		out=8'b0000_0001; */ 
		
always@(*)
	case({in_1,in_2,in_3})
	3'b000:out=8'b0000_0001;
	3'b001:out=8'b0000_0010;
	3'b010:out=8'b0000_0100;
	3'b011:out=8'b0000_1000;
	3'b100:out=8'b0001_0000;
	3'b101:out=8'b0010_0000;
	3'b110:out=8'b0100_0000;
	3'b111:out=8'b1000_0000;
	default:out=8'b0000_0001;
	endcase
endmodule
		
	
		

首先第一种方法是存在优先级的,是逐步向下判断,两种代码书写放死生成的RTL视图也不相同。case语句不存在优先级,就是匹配就执行。

2.2 tb_decoder.v

`timescale 1ns/1ns

module tb_decoder();

reg 		in_1;
reg 		in_2;
reg 		in_3;

wire		[7:0] out;

initial
	begin
		in_1<=1'b0;
		in_2<=1'b0;
		in_3<=1'b0;
	end
	
always#10 in_1<={$random}%2;
always#10 in_2<={$random}%2;
always#10 in_3<={$random}%2;

initial begin
	$timeformat(-9,0,"ns",6);
	$monitor("@time %t:in_1=%b,in_2=%b,in_3=%b,out=%b",$time,in_1,in_2,in_3,out);
end

decoder decoder_inst
(	
	.in_1	(in_1),
    .in_2   (in_2),
    .in_3   (in_3),
			
    .out	(out)
);

endmodule

波形符合,比如此时输入101,输出位0010_0000。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值