UDP/IP网络通信协议

本文介绍了UDP协议的基本特性,并提供了使用Java实现UDP/IP通信的发送端和接收端代码示例,强调了UDP协议的无连接性和不可靠性。
摘要由CSDN通过智能技术生成

<华清远见>eclipse实现UDP/IP网络通信


一、UDP是什么?

UDP是一种无连接的协议,每个数据报都是一个独立的地址信息,包括完整的源地址或目的地址,它在网络上以任何可能的路径传往目的地,因此能否到达目的地,到达目的地的时间以及内容的正确性都是不能被保证的。UDP每个数据报中都给出了完整的地址信息,因此无需要建立发送方和接收方的连接。UDP传输数据时是有大小限制的,每个被传输的数据报必须限定在64KB之内。UDP是一个不可靠的协议,发送方所发送的数据报并不一定以相同的次序到达接收方。

二、实例代码

1.发送端代码

代码如下(示例):

import java.io.IOException;
import java.net.DatagramPacket;
import java.net.DatagramSocket;
import java.net.InetAddress;
import java.net.SocketException;
import java.ne
  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值