FPGA学习(三)——程序框架


Verilog注释

Verilog有两种注释方式
第一种
//开头的语句
第二种
/**/格式之间的语句

Verilog关键字

在这里插入图片描述

常用关键字

在这里插入图片描述

Verilog程序框架

模块结构

Verilog的基本设计单元是 “模块”(block)
一个模块是由两部分组成的,一部分描述接口,另一部分描述逻辑功能。

module block(a,b,c,d);
	input a,b;
	output c,d;
assign c = a | b;  //assign 表示产生信号
assign d = a & b;

endmodule

描述图如下:
在这里插入图片描述
每个Verilog程序包括4个主要部分:端口定义,IO说明,内部信号声明,功能定义。

模块的调用

在模块调用时,信号通过模块端口在模块之间传递。
后面会以实际的代码进行分析

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Jacky~~

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值