Verilog学习笔记(10)Modelsim仿真_串口指令处理

本文是Verilog学习笔记的一部分,主要介绍如何在Modelsim中进行仿真,特别是针对串口指令处理器的设计。作者详细阐述了串口指令集格式,包括接收3个字节的指令和操作,并提供了状态转换图。虽然遇到了串口接收器仿真问题,但作者计划后续解决并更新文章。
摘要由CSDN通过智能技术生成

1.状态机代码设计与仿真

1.1 串口指令处理器

细化电路图 :

 cmd_pro指令集格式:

1.每次连续接受3个字节,第一字节为指令CMD,第二字节为操作数A,第三字节为操作数B;

2.指令集如下:

CMD                操作

8’h0a                A+B

8’h0b                A-B

8’h0c               A&B

8’h0d                A|B

状态转换图:

 

代码:

//指令处理器;
module cmd_pro(
               clk,
               res,
               din_pro,
               en_din_pro,
               dout_pro,
               en_dout
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值