【XINLIX 原语】XILINX 原语的使用之 IBUFDS 差分转单端、OBUFDS 单端转差分

18 篇文章 14 订阅

目录

IBUFGDS

IBUFDS 介绍

IBUFDS 示意图

例化方式

OBUFDS

OBUFDS 介绍

OBUFDS 示意图

例化方式


 

在 XILINX 中有许多原语,常见的差分转单端 IBUFDS、单端转差分 OBUFDS。

IBUFGDS

IBUFDS 介绍

IBUFDS 即专用差分输入时钟缓冲器(Dedicated Differential Signaling Input Buffer with Selectable I/O Interface)

IBUFDS:在实验工程中如果需要将差分时钟转换成单端时钟作为全局时钟,需要添加例化此原语。

IBUFDS是一个输入缓冲器,支持低压差分信号(如LVCMOS、LVDS等)。在IBUFDS中,一个电平接口用两个独特的电平接口(I和IB)表示。一个可以认为是主信号,另外一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

IBUFDS 示意图

watermark,type_d3F5LXplbmhlaQ,shadow_50,text_Q1NETiBATGluZXN0LTU=,size_20,color_FFFFFF,t_70,g_se,x_16

Inputs

Outputs

I

IB

O

0

0

No Change

0

1

0

1

0

1

1

1

No Change

例化方式

将差分时钟的两路时钟分别接入 I 和 IB,而作为输出的单端时钟由 O 输出。

IBUFDS #(
      .DIFF_TERM("FALSE"),       // Differential Termination
      .IBUF_LOW_PWR("TRUE"),     // Low power="TRUE", Highest performance="FALSE" 
      .IOSTANDARD("DEFAULT")     // Specify the input I/O standard
   ) IBUFDS_inst (
      .O(O),  // Buffer output
      .I(I),  // Diff_p buffer input (connect directly to top-level port)
      .IB(IB) // Diff_n buffer input (connect directly to top-level port)
   );

OBUFDS

OBUFDS 介绍

OBUFDS:即差分输出时钟缓冲器(Differential Signaling Output Buffer with Selectable I/O Interface),将单端信号转换成差分信号。

OBUFDS是一个输出缓冲器,支持低压差分信号。OBUFDS隔离出了内电路并向芯片上的信号提供驱动电流。它的输出用O和OB两个独立接口表示。一个可以认为是主信号,另外一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

OBUFDS 示意图

watermark,type_d3F5LXplbmhlaQ,shadow_50,text_Q1NETiBATGluZXN0LTU=,size_14,color_FFFFFF,t_70,g_se,x_16

例化方式

将输入的单端时钟由 I 输入,差分时钟由 O 和 OB 输出。

OBUFDS #(
      .IOSTANDARD("DEFAULT"), // Specify the output I/O standard
      .SLEW("SLOW")           // Specify the output slew rate
   ) OBUFDS_inst (
      .O(O),     // Diff_p output (connect directly to top-level port)
      .OB(OB),   // Diff_n output (connect directly to top-level port)
      .I(I)      // Buffer input
   );

 

 

IBUFDSOBUFDSXilinx FPGA芯片上的原语IBUFDS用于将差分信号换为单端信号,而OBUFDS用于将单端信号换为差分信号。 引用和引用中给出了使用IBUFDSOBUFDS的示例代码。这些代码显示了如何使用这些原语以及如何设置它们的参数。 在使用IBUFDS时,需要将差分信号的正极和负极分别连接到IB和IB电路引脚上,然后将单端信号的输出连接到O引脚上。可以通过设置DIFF_TERM参数来控制差分的使能,通过设置IBUF_LOW_PWR参数来控制功耗,通过设置IOSTANDARD参数来指定输入的I/O标准。 在使用OBUFDS时,需要将单端信号连接到I引脚上,然后将差分信号的正极和负极分别连接到OB和OB电路引脚上。可以通过设置DIFF_TERM参数来控制差分的使能,通过设置OBUF_LOW_PWR参数来控制功耗,通过设置IOSTANDARD参数来指定输出的I/O标准。 综上所述,IBUFDS用于差分信号转单信号,OBUFDS用于单端信号差分信号。它们在FPGA设计中起到了重要的作用,可以实现信号的传输和接收。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [vivado差分信号和单端信号 的相互IBUFDS OBUFDS](https://blog.csdn.net/qq_52137732/article/details/127791606)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [FPGA差分转单单端差分 IBUFDS OBUFDS BUFG](https://blog.csdn.net/kai73/article/details/105693759)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Linest-5

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值