Verdi命令行调用选项用法

命令行调用Verdi平台如果没有指定manage.rc资源文件,则库设置从novas.rc资源文件里面load;支持load verilog的压缩文件gzipped(*.gz);如果编译的门级设计因为ECO修改使用了nECO模块,则不能使用-ssy,-ssz和-ssv选项。命令行使用方法:verdi [General Options] [nTrace Options] [Simulator Options][Siloti Options] [Environment Options]
摘要由CSDN通过智能技术生成

命令行调用Verdi平台

  1. 如果没有指定manage.rc资源文件,则库设置从novas.rc资源文件里面load;

  2. 支持load verilog的压缩文件gzipped(*.gz);

  3. 如果编译的门级设计因为ECO修改使用了nECO模块,则不能使用-ssy,-ssz和-ssv选项。
    命令行使用方法:

    verdi [General Options] [nTrace Options] [Simulator Options]
    [Siloti Options] [Environment Options] [Behavior Analysis Options]
    [Power Manager Options]

General/nTrace Options

选项

描述

-2001/+v2k

支持Verilog IEEE 1364-2001命名风格生成块(覆盖语言选项)。不应用IEEE 1364-2005 sec12.4.3 unnamed genblk naming。这个选项只和-sv或-2005使用,它只应用于VCS用户。

-2001genblk

使用Verilog IEEE 1364-2001标准

-2005

支持Verilog IEEE 1364-2005标准。默认应用IEEE 1364-2005 sec12.4.3 unnamed genblk naming

-2009

支持SystemVerilog IEEE 1800-2009标准

-2012

支持SystemVerilog IEEE 1800-2012标准

-h/-hep

打印帮助信息

-nogui

以批量模式运行。要求有效的DISPLAY环境变量。如果没有更多的tcl命令以这种模式执行,Verdi平台自动在三十秒内退出。

-nologo

抑制启动时的欢迎界面,默认到硬件调试界面。欢迎界面通过Help->Welcome命令显示。

-ssc licenseFile

指定license文件的名字

-ssf fastFile(s)/dumpFile(s)/fastFile list(s)

加载FSDB(.fsdb),virtual FSDB(.vf), gzipped FSDB(.fsdb.gz), bzip2 FSDB(.fsdb.bz2), waveform dump(.vcd,.vcd.gz) files 或FSDB file lists(*.flst)。对单一的fsdb文件,一次可以指定1-16个文件。例子:verdi -f run.f -ssf file1.fsdb file2.fsdb…file16.fsdb或verdi -nWave -ssf demo1.fsdb demo2.fsdb demo3.fsdb。对于一个文件清单,必须在这个文件中列出每一个具有绝对路径或相对路径的FSDB或virtual文件在文件中单独的一行。文件数量没有限制。#和;被用来做注释,但是必须将他们放在单独的一行。当使用-ssf指定一个FSDB文件,并且仿真器的类型使在FSDB中指定,仿真器类型是自动设定。

-ssr sessionFile

加载session文件(*.ses)

-ssv

不推荐自动标识在库文件(-v)的库模块作为库cell。注意:在import期间,在Verdi命令行的-ssv

  • 1
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值