modelsim独立仿真IP核的方法

本文讲述了在项目中使用RAM、ROM等IP核时,在Quartus18.1以上版本中如何独立使用ModelSim进行仿真,包括设置工作空间、编译QuartusIP仿真库、添加仿真文件和数据文件,以及处理常见的仿真错误和波形调试技巧。
摘要由CSDN通过智能技术生成

最近做项目,使用到了RAM,ROM,FIFO,FFT,PLL这样的IP核,在功能仿真的时候也需要对这些IP核进行验证。

在Quartus 18.1以上的版本里,quartus-modelsim联合仿真的那个按钮选项好像找不到了。所以没办法从Quartus prime软件里直接启动modelsim软件进行仿真了。

因此独立使用modelsim软件进行仿真。modelsim在仿真IP的时候可能会有各种错误,例如:提示缺少某些库文件,找不到某些设计文件诸如此类。

网上查了各种办法加上自己调试,终于把modelsim波形仿出来了。

记录一下,免得自己以后忘了...

以FFT IP为例,使用modelsim进行仿真验证。

首先建立一个modelsim工程:

                                  

创建好工程之后可以看见在工程文件夹里多出来了一个后缀为.mpf(modelsim project)的文件和一个work文件夹(工作区文件夹)。

如果是第一次仿真,需要在modelsim里面编译Quartus 常用IP的仿真库

        1.点击file-change directory更换当前工作空间地址

         2.将地址更换到modelsim仿真工程文件夹下

         3.新建Library

 

        4.编译刚刚建好的library

                      

 5.编译好之后工程文件夹里会多出一个刚刚编译过的库的文件

 注:

1.库的名称不要随便乱取(否则modelsim软件编译IP文件的时候可能会提示找不到某些库),不同的库编译这些库相应的文件。

2.如果曾经已经编译过IP的仿真库,每次仿真的时候只需要把仿真库对应的文件添加到工程文件夹里面。最好和.mpf文件在同一目录下,modelsim软件可以自动识别出对应的库。

 

如果库文件放在其他位置,modelsim软件无法自动识别,在工程里面的Library界面就找不到所需要的库,后续编译也会提示找不到对应的库报错。

接下来将IP对应的仿真文件,用户设计文件以及testbench测试文件添加到project里面。

IP仿真文件要找到IP核对应的存放位置,仿真文件要添加全,不要有缺失(否则仿真波形出不来)。

 

 例化IP的时候可能会产生一些.hex数据文件,这些文件也是modelsim仿真需要用的,也要加到modelsim工程文件夹中。

注:将.hex/.coe/.mif等数据初始化文件添加到modelsim仿真文件夹的时候一定要注意存放的位置(数据文件放的位置不对仿真波形出不来)。

在相应的设计文件中可以找到对应数据文件的存放地址。

这个存放地址是相对于.mpf文件的地址。

“./”:同级目录        “../”:上一级目录

 这里应该把这些文件放到.mpf文件同级目录下。

  

将用户设计文件和testbench测试文件也添加进来之后,对modelsim工程进行编译 

                          

注:第一次编译之后可能会有一些报错,这与文件添加以及编译的顺序有关。

没有关系,多编译几次,这些错误可能就会消失。

 

全编译通过之后进行仿真 

                          

                             

 将波形调出来,查看波形

fft IP核仿真完成

对于其它常见IP核,仿真方法都是一样的。如果这中间modelsim有报错,看错误报告,找出错的地方,然后解决错误。

一般来说这几种原因可能会导致modelsim仿真报错或者跑不出波形:

1.缺少相应的库或者库文件没有编全

2.IP仿真文件有缺失,没有添加全

3.数据文件存放地址不对

另外,可以使用tcl脚本快速启动modelsim进行仿真,这在大型工程的仿真中很实用,之后再记录一下简单tcl脚本使用以及波形调试中遇到的一些常见小问题,小bug。

  • 0
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
### 回答1: 要在ModelSim SE中仿真IP核,需要按照以下步骤进行操作: 1. 打开ModelSim SE软件,创建一个新的工程。 2. 在工程中添加需要仿真IP核文件。 3. 在工程中添加仿真测试文件,可以是Verilog或VHDL文件。 4. 编写仿真测试文件,包括对IP核的实例化和测试向量的生成。 5. 在ModelSim SE中运行仿真,查看仿真结果。 6. 如果需要调试IP核,可以在仿真过程中添加波形窗口,查看信号波形。 7. 通过仿真结果分析IP核的性能和正确性。 需要注意的是,不同的IP核可能有不同的仿真方法测试要求,具体操作步骤可能会有所不同。因此,在使用ModelSim SE仿真IP核时,需要仔细阅读IP核的文档和仿真指南,按照要求进行操作。 ### 回答2: Modelsims是一个非常强大的工具,可以用于仿真IP核。下面,我将介绍如何使用Modelsims来仿真IP核。 1. 首先,你需要有一个IP核作为你的目标。你可以从各个IP核库中找到一个合适的IP核。然后,你需要导入该IP核到你的工程中。导入的过程因版本不同会有所不同,但是通常都是通过adding source来实现的。 2. 接下来,你需要编写一个测试文件,用来模拟IP核的输入和观察IP核的输出。你可以使用Verilog或VHDL来编写测试文件,具体来说,测试文件应该包含以下步骤: a. 初始化输入信号 b. 将输入信号传递给IP核 c. 等待IP核的输出 d. 将IP核的输出与预期的输出进行比较 3. 在Modelsims中,你需要创建一个仿真器来运行测试文件。在创建仿真器的过程中,你需要指定仿真文件和IP核。这里有一个例子展示如何创建仿真器: vsim -L work -L altera_ver tb_top 其中work和altera_ver是IP核库的路径,tb_top是测试文件的名称。 4. 一旦仿真器创建完成,你可以开始运行仿真测试了。通过运行仿真测试,你可以掌握IP核的输入和输出之间的关系,并且可以验证IP核的正确性。 5. 最后,你可以使用Modelsims的波形查看器来查看仿真测试的结果。波形查看器可以帮助你更清晰地了解测试过程中IP核的输入和输出信号。 以上是如何使用Modelsims来仿真IP核的基本过程。当然,每个IP核的具体测试过程都可能有所不同,但这里介绍的方法可以应用于大多数IP核仿真测试。 ### 回答3: 在进行IP核仿真时,我们通常会使用EDA工具中的ModelSim仿真软件进行验证。进行仿真前需要进行如下准备: 1. IP核设计代码:IP核设计代码可以是Verilog或VHDL的文件。确保代码无误,并且符合设计要求。 2. Testbench文件:Testbench文件定义了IP核需要接收的输入数据以及对应的时间点。可以使用SystemVerilog或VHDL代码编写。 3. Constraint文件:Constraint文件用于定义时序限制和约束。 接下来是具体的IP核仿真步骤: 1. 打开ModelSim软件,建立一个新的工程。 2. 将IP核设计代码以及Testbench文件添加到工程中。 3. 仿真工具需要了解在模拟过程中IP核的输入和输出信号。因此,在工程设置中将IP核的输入输出端口连接。 4. 在仿真界面打开波形窗口,以便能够查看IP核仿真的结果。 5. 现在可以进行仿真了,仿真时间可以在Testbench文件中进行指定。 6. 在仿真过程中,可以查看仿真波形,检查输入输出信号是否与期望值一致,并且根据仿真结果进行调试和优化。 7. 仿真完成后,分析仿真结果并进行仿真报告撰写。 通过以上步骤可以完成IP核仿真过程。不过在实际过程中,因为IP核的种类和复杂度不同,一些详细的步骤可能需要根据具体情况进行调整和修改。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Abshdbeh

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值