Verilog模块写法模版

`timescale 1ns / 1ps

module module_name(

    clk,
    rst_n,
    //其他信号
    out
    );
    //参数定义
    parameter DATA = 2;
    
    //输入信号定义
    input clk;
    input rst_n;
    
    //输出信号定义
    output[DATA-1:0] out;
    //输出信号reg定义
    reg [DATA-1:0] out;
    
    //中间信号定义
    reg signal1;
    //组合逻辑写法
    always@(*)begin
    end
    //时序逻辑写法
    always@(posedge clk or negedge rst_n)begin
    
        if(rst_n == 1'b0)begin
        end
        else begin
        end
    
    end

endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值