crc循环冗余

  1、循环冗余校验码(CRC码,CRC=Cyclic Redundancy Check):是数据通信领域中最常用的一种差错校验码,其特征是信息字段和校验字段的长度可以任意选定。
  2、生成CRC码的基本原理:任意一个由二进制位串组成的代码都可以和一个系数仅为‘0’和‘1’取值的多项式一一对应。例如:代码1010111对应的多项式为x6+x4+x2+x+1,而多项式为x5+x3+x2+x+1对应的代码101111。
  3、CRC码集选择的原则:若设码字长度为N,信息字段为K位,校验字段为R位(N=K+R),则对于CRC码集中的任一码字,存在且仅存在一个R次多项式g(x),使得
  V(x)=A(x)g(x)=xRm(x)+r(x);
  其中: m(x)为K次信息多项式, r(x)为R-1次校验多项式,
  g(x)称为生成多项式:
  g(x)=g0+g1x1+ g2x2+...+g(R-1)x(R-1)+gRxR
  发送方通过指定的g(x)产生CRC码字,接收方则通过该g(x)来验证收到的CRC码字。
  4、cRC校验码软件生成方法:
  借助于多项式除法,其余数为校验字段。
  例如:信息字段代码为: 1011001;对应m(x)=x6+x4+x3+1 
  假设生成多项式为:g(x)=x4+x3+1;则对应g(x)的代码为: 11001
  x4m(x)=x10+x8+x7+x4 对应的代码记为:10110010000;
  采用多项式除法: 得余数为: 1010 (即校验字段为:1010)
  发送方:发出的传输字段为: 1 0 1 1 0 0 1 1010
  信息字段 校验字段
  接收方:使用相同的生成码进行校验:接收到的字段/生成码(二进制除法)
  如果能够除尽,则正确,
  给出余数(1010)的计算步骤:
  除法没有数学上的含义,而是采用计算机的模二除法,即,除数和被除数做异或运算。进行异或运算时除数和被除数最高位对齐,按位异或。
  1011001 0000
  -11001
  --------------------------
  =01111010000
  1111010000
  -11001
  -------------------------
  =0011110000
  11110000
  -11001
  --------------------------
  =00111000
  111000
  - 11001
  -------------------
  = 001010
[cpp]  view plain  copy
  1. <span style="font-size:18px;">#include <iostream>  
  2. #include <string>  
  3. #define MAXLEN 50  
  4.   
  5. using namespace std;  
  6.   
  7. void   main()     
  8. {     
  9.     long i;//long型为四字节,即32 bits  
  10. cout<<"请输入要传输的数据(十进制):";  
  11. cin>>i;  
  12.     long generate =6157;//1100000001101  
  13. char g_add[MAXLEN];  
  14. char s_end[MAXLEN];  
  15. itoa(i, s_end, 2);  
  16. itoa(generate, g_add, 2);     
  17. char len_g = strlen(g_add);  
  18. i = i<<(len_g-1);  
  19. //cout<<generate<<endl;  
  20.   
  21. itoa(i, g_add, 2);     
  22. char len_s = strlen(g_add);  
  23. long temp;  
  24. while(len_s >= len_g)  
  25. {  
  26.    temp = generate<<(len_s-len_g);  //每一次都把哪个生成多项式
  27.    i = i^temp;  //进行异或运算
  28.    itoa(i, g_add, 2);     //把新生成的i放进去
  29.    len_s = strlen(g_add);  //重新计算长度。
  30. }  
  31. strcat(s_end, g_add);  
  32. cout<<"\n在产生多项式为CRC12=X^12+X^11+X^3+X^2+1的情况下,"<<"\n"  
  33.    <<"得到的冗余码二进制是:"<<g_add<<"\n"  
  34.    <<"              码字是:"<<s_end<<endl;  
  35. }  
  36. </span>  

CRC循环冗余校验码是一种数据校验算法,常用于检测和纠正数据传输过程中的错误。在Verilog中实现CRC循环冗余校验码需要进行以下步骤: 1. 首先,确定CRC校验码的生成多项式和初始值。生成多项式是一个固定长度的二进制数,用于生成校验码。初始值是一个与生成多项式长度相等的二进制数,用于初始化寄存器。 2. 创建一个模块,定义输入和输出信号。输入信号包括数据和校验值,输出信号为校验结果和有效信号。 3. 在模块中,定义时钟信号、复位信号和请求信号。时钟信号用于同步模块中的操作,复位信号用于初始化寄存器,请求信号用于触发校验操作。 4. 定义输入数据和校验值的宽度,并初始化它们的值。 5. 实例化CRC模块,并将时钟、复位、请求信号以及输入数据和校验值连接到该模块的对应端口。 6. 根据模块中定义的操作逻辑,在模块中实现CRC校验的计算过程。 7. 仿真验证:使用仿真平台进行测试和验证。在仿真平台中,使用时钟信号、复位信号和请求信号来模拟时钟、复位和请求操作,并观察输出结果是否符合预期。 以上是在Verilog中实现CRC循环冗余校验码的一般步骤和方法。具体的实现细节和代码可以参考引用中提供的Verilog实现例子。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [CRC_8循环冗余校验码verilog实现](https://blog.csdn.net/watyuanyuan/article/details/116465590)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* [CRC循环冗余校验码的Verilog实现](https://blog.csdn.net/qq_40268672/article/details/122109145)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值