FPGA入门学习—4位计数器设计及验证

FPGA入门学习—4位计数器设计及验证

module设计文件:

`timescale 1ns / 1ps
module counter_4Bit(
	input clk,
	input rst_n,
	output reg [3:0] cnt
    );
    always @(posedge clk or negedge rst_n) begin
		if(!rst_n)
			cnt <= 0;
		else if(cnt == 4'd15)
			cnt <= 0;
		else
			cnt <= cnt + 1'd1;
	end
endmodule

module仿真文件:

`timescale 1ns / 1ps
module tb_counter_4Bit;
reg clk;
reg rst_n;
wire [3:0] cnt;
initial begin
	clk <= 0;
	rst_n <= 0;
	#200 rst_n = 1;
end
always#50 clk = ~clk;
counter_4Bit u_counter_4Bit(
	.clk(clk),
	.rst_n(rst_n),
	.cnt(cnt)
);
endmodule

仿真波形图:
在这里插入图片描述

综合原理图:

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值