UG585详解-Ch. 1

最近在研究Zynq-7000系列FPGA(惭愧惭愧,XILINX都出到Ultra+了,我还停留在7系列。。。),有感UG585手册内容太多,太难啃,决定好好学习并记录下(不是对照翻译,只记录本人认为比较重要的部分,尽量覆盖到位)。

可能要花好长时间写完,尽量往前赶吧。

1. 概述

Zynq-7000基于XILINX SoC架构,集成了1个或2个Cortex-A9处理器(PS)和一个基于XILINX 可编程器件(PL),采用28nm工艺,拥有在系统存储、外部存储接口和丰富的IO接口。

Zynq-7000系列SoC的功能框图如下:

 

PS和PL供电部分相互独立,可以关闭PL电源,达到节能的目的。

7z010/7z015/7z020(双核器件)7z007s/7z012s/7z014s (单核器件)沿用Artix-7架构,7z030/7z035/7z045/7z100沿用Kintex-7架构,更多关于PL的特性,可参考XILINX 7系列FPGA用户手册部分(UG471~UG480)。

7007s和7010 CLG225器件 PS特性和其他器件不同,包括更少的MIO引脚(32个),更少的DDR数据线(16 pin)以及更少的XADC信号(4组)。

2. PS基本特性描述

2.1 APU

应用处理单元(APU),基本特性如下:

  1. 系统级控制寄存器(SLCR);
  2. 256KB 在系统SRAM(OCM),含奇偶校验;
  3. DMA控制器(PS/PL各4个通道);
  4. 通用中断控制器(GIC);
  5. 看门狗定时器。

2.2 存储接口

  1. DDR控制器:支持DDR3/DDR3L/DDR2/LPDDR-2,16b/32b位宽(16b含ECC);
  2. QSPI控制器:4-bit 双向IO信号,读取速率支持x1/x2/x4,写入速率支持x1/x4,主模式最高时钟频率100MHz,128Mb以下(含)容量器件支持IO和线性模式,128Mb以上(不含)器件仅支持IO模式,支持两片QSPI器件并联
  3. 静态存储控制器:NAND控制器(8/16-bit位宽含1位片选,异步操作模式),并行SRAM/NOR控制器(8-bit位宽含1/2位片选,异步存储模式)。

2.3 IO外设

IO外设如下:

  1. GPIO:多达54个(可配置为三态输出),192个交互GPIO;
  2. 吉比特以太网(2组):RGMII接口(MIO),SGMII接口(GTP/GTX),符合IEEE802.3-2008;
  3. USB控制器(2组):7010仅有一个USB控制器,支持12个终端,需要外部PHY;
  4. SD/SDIO控制器(2组):支持全速/低速,支持1-bit/4-bit数据接口;
  5. SPI控制器(2组):最高支持50MHz时钟频率;
  6. CAN控制器(2组):符合ISO11898-1规范,支持标准帧/扩展帧,最高速率1Mb/s;
  7. UART控制器(2组):数据位6/7/8-bit,停止位1/1.5/2-bit;
  8. I2C控制器(2组):符合I2C总线规范V2;
  9. PS MIO IO:Bank 0包含[0:15],Bank 1包含[16:53],输出电压可配置位1.8/2.5/3.3V,CMOS/HSTL电平。

3. PL基本特性描述

 PL部分基本特性如下:

  1. 可配置逻辑块(CLB);
  2. 36Kb 块RAM;
  3. 数字信号处理单元(DSP48E1);
  4. 时钟管理;
  5. 可配置IO;
  6. 低功耗吉比特以太网(GTX/GTP);
  7. 模数转换器(XADC):双通道12-bit 1MSPS ADC;
  8. 集成PCIe接口:符合2.1规范。

4. 互联特性描述

可参考图1.1和图5.1。

4.1 基于高带宽AXI总线的PS互联

包含OCM互联和中央互联。

  1. OCM互联:提供了从中央互联/PL到OCM(256KB存储)的通道,通过SCU访问OCM将提供最低的延迟;
  2. 中央互联:64-bit,将IOP/DMA控制器连接到DDR控制器/OCM/AXI_GP接口,连接本地DMA单元,连接PS到IOP;

4.2 PS-PL互联

存在两种接口,功能接口和配置引脚。

  1. 功能接口:包含AXI互联、扩展MIO(EMIO),可连接至PL用户自定义IP;
  2. 配置引脚:包含PCAP、配置状态、SEU、Program/Done/Init。

AXI接口包含AXI_ACP、AXI_HP和AXI_GP。

​​​​​​​5. 系统软件

参考UG821。

### 回答1: ug585-zynq-7000-trm.pdf是一份关于Zynq-7000系列器件的技术参考手册。Zynq-7000是赛灵思公司开发的一款集成了 ARM Cortex-A9 处理器和可编程逻辑的系统级芯片。这个技术参考手册为用户提供了关于Zynq-7000器件的详细信息,包括架构介绍、功能特性、寄存器描述以及软件和硬件开发指南等内容。 该手册首先介绍了Zynq-7000的整体架构,包括处理器系统和可编程逻辑的组合,以及二者之间的连接方式。然后,手册详细描述了Zynq-7000的各个功能模块,如处理器系统、DMA控制器、片上存储器等。对于每个功能模块,手册给出了其功能描述、寄存器描述以及配置建议。 此外,手册还提供了Zynq-7000的软件开发指南,包括处理器的引导流程、操作系统的支持、软件编程模型以及调试和性能优化等内容。对于硬件开发者来说,手册也提供了关于时钟和电源管理、外设接口、引脚分配以及PCB设计建议等方面的信息。 通过阅读ug585-zynq-7000-trm.pdf,用户可以全面了解Zynq-7000系列器件的特性和功能,从而更好地应用于自己的项目中。这个手册为开发者提供了重要的参考文档,有助于提高开发效率和项目成功率。无论是对于软件开发者还是硬件开发者来说,这个手册都是一份非常有价值的资料。 ### 回答2: ug585-zynq-7000-trm.pdf是关于Zynq-7000系列SoC的技术参考手册。Zynq-7000是由赛灵思公司生产的一种集成了ARM Cortex-A9双核处理器和可编程逻辑的片上系统。该手册提供了关于Zynq-7000 SoC架构和功能的详细信息。 手册中包含了Zynq-7000 SoC的主要特性、系统结构图、时钟和电源管理、芯片级功能描述等内容。其中,主要特性部分介绍了Zynq-7000 SoC的处理器、逻辑、内存和外设等方面的特性,以及支持的操作系统和工具链。系统结构图部分展示了Zynq-7000 SoC的各个模块之间的连接和通信方式,帮助用户理解其系统级架构。 手册中还包含了关于时钟和电源管理的重要信息,介绍了如何配置和管理SoC的时钟和电源供应。此外,技术参考手册还提供了芯片级功能描述,详细介绍了SoC内部各个模块的功能和接口规范。 通过阅读ug585-zynq-7000-trm.pdf,用户可以深入了解Zynq-7000 SoC的内部结构和功能特性,了解如何有效使用和配置该芯片。这对于开发者和设计工程师来说非常有价值,可以帮助他们开发和优化基于Zynq-7000 SoC的应用程序和系统。 总之,ug585-zynq-7000-trm.pdf是一本重要的技术参考手册,对于想要深入了解和使用Zynq-7000 SoC的人群来说是不可或缺的一份文档。 ### 回答3: ug585-zynq-7000-trm.pdf是一份关于Xilinx Zynq-7000系列SoC技术参考手册的PDF文档。Zynq-7000系列SoC是Xilinx公司推出的一款集成了ARM Cortex-A9处理器和可编程逻辑的片上系统,它在嵌入式领域有着广泛的应用。 该手册提供了关于Zynq-7000系列SoC的详细技术规格和功能说明。它包含了SoC的内部结构、时钟和复位控制、外设接口以及可编程逻辑资源的详细信息。此外,手册还介绍了不同的外设模块,包括UART、I2C、SPI等,并提供了使用这些外设的软件编程接口和寄存器配置信息。 除了硬件规格,该手册还详细介绍了Zynq-7000系列SoC的软件开发环境和工具链。它包含了关于使用Xilinx软件开发套件进行软件开发的说明,包括嵌入式处理器的编程模型、操作系统支持和驱动程序开发等内容。 通过阅读这份技术参考手册,用户可以全面了解Zynq-7000系列SoC的内部结构和外设功能,掌握SoC的硬件和软件开发技术,从而更好地进行基于Zynq-7000的嵌入式系统设计和开发工作。无论是从事嵌入式系统开发的工程师,还是对Zynq-7000系列SoC感兴趣的学习者,这份参考手册都是非常有价值的参考资料。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值