VCS usage tips

plusagrs

systemverilog 中$test$plusargs("cfg_rand"); 和$test$plusargs("cfg");会冲突

initial begin
  if($test$plusargs("cfg")) cfg = 1;
  if($test$plusargs("cfg_rand")) cfg_rand = 1;
  $display("cfg = %0d, cfg_rand =%0d",cfg, cfg_rand);
end
endmodule

cmd: simv +cfg

       cfg=1, cfg_rand=0

simv +cfg_rand  

       cfg=1, cfg_rand=1

simv +cfg_rand_abcxxx*  

       cfg=1, cfg_rand=1

VCS version:H-2013.06

所以plus_command 只要包含plusargs就是有效的。

DPI

如果调用dpi,可以先将cpp编译成.so,然后再vcs compile simulation, 好处就是cpp改动,不需要重新编译sv,直接simulation就行
1. g++ -fPIC -I${VCS_HOME}/include dpi.cpp -shared -o dpi.o
2. g++ -shared dpi.o -dpi.so
vcs -sverilog -timescale=1ns/1ns +acc +vpi -Wall -m64 -CFLAGS -DVCS dpi.so

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值