由QSF生成SDC约束文件

QSF是Quartus工程约束文件,包含工程信息、器件信息等。SDC用于TimeQuest的时序约束。在TimeQuest中,可以轻松将QSF转换为SDC。工程文件分为编译必需、中间、报告、界面配置和编程文件五类。在版本控制中,重要的是保留编译必需、编译结果和编程文件。Quartus II编译主要涉及quartus_map、quartus_fit、quartus_asm、quartus_tan四个进程,调整前两者优先级能影响编译速度。
摘要由CSDN通过智能技术生成

QSF是Quartus Settings File的缩写,包含了一个Quartus工程的所有约束,包括工程信息、器件信息、引脚约束、编译约束和用于Classic Timing Analyzer的时序约束。

  SDC是Synopsys Design Constraints的缩写,该文件用于TimeQuest Timing Analyzer的时序约束和定制报告

在TimeQuest中把Classic Timing Analyzer的约束语句转换为SDC是很容易的。在Constraints菜单下,执行Generate SDC File from QSF即可。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值