四值逻辑二值逻辑计算

本文详细介绍了Verilog模块中bit、logic和integer数据类型的使用,通过示例展示了它们的不同行为。重点讨论了二值逻辑bit与四值逻辑integer的运算,并以实际代码展示了如何在Verilog中进行位级和数值级别的操作。最后,通过仿真结果揭示了不同数据类型之间的运算规则。
摘要由CSDN通过智能技术生成
  • bit是二值逻辑,xz变成0
  • logic是四值逻辑
  • integer是四值逻辑
  • int 是二值逻辑
  • bi无符号
  • by有符号8bit,负数取反加一表示
module bit_logic_operator ();
 
bit   [7:0] a = 8'b01xz_01xz;
logic [7:0] b = 8'b01xz_01xz;
integer     c = 32'b01xz_01xz_01xz_01xz;
int         d = 32'b01xz_01xz_01xz_01xz;
bit   [7:0] bi;
byte        by; 

initial begin
  $display  ("Value of bit     a = %b", a);
  $display  ("Value of logic   b = %b", b);
  $display  ("Value of integer c = %b", c);
  $display  ("Value of int     d = %b", d);
  $display  (" bit + integer     = %b", a + c);//任何带不定值的操作,结果都是不定值
  $display  (" logic + int       = %b", b + d);
  a = 10;
  b = 20;
  c = 30;
  d = 40;
  $display  (" bit + logic       = %b", a + b);
  $display  (" integer + int     = %b", c + d);
  bi = 8'bff;
  by = 8'hff;
  $display("bi=%d",bi);
  
end
 
endmodule

仿真结果如下:
在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

狮子座硅农(Leo ICer)

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值