自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(79)
  • 资源 (1)
  • 收藏
  • 关注

原创 IC验证工具:Ubuntu下Questasim10.7安装(64bit)全攻略

一、安装VMware二、安装Ubuntu(1)解除自动锁屏打开系统设置,找到“Brightness & Lock”(亮度和锁屏)设置并打开。 更改设置为“Never”和“OFF”。三、安装Questasim1、换源(1)Found a swap file by the name "/etc/apt/.sources.list.swp":vim删除临时文件...

2021-02-20 21:36:45 6225 8

原创 SystemVerilog(零):Verilog数据类型、语法、例程

1、模块是基本描述单位2、端口默认是线网数据类型。端口长度声明,默认1位。output [0:3]Z;4位3、assign:连续赋值语句4、编译器指令:` timescale 1ns /100ps 时间单位、时间精度5、语句并发6、数据流等设计描述方式7、always=事 件控制(判断条件)+顺序过程。always语句块可以理解为while语句吗?...

2020-06-13 11:30:30 3082

原创 深度强化学习篇3:神经网络分类

分类问题的一种实现方式是线性回归,对所有预测结果以某个值为分界。然而,这种方法不够好,因为分类问题不能用线性方程表示。但分类问题依然是回归问题,预测的结果限定在少数离散的结果集中。一、逻辑回归与二分类1、逻辑回归做二分类线性回归Y=aX+b,y的取值范围是[-∞, +∞],有这么多取值,怎么进行分类呢?选择把Y的结果带入一个非线性变换的Sigmoid函数中,即可...

2020-01-19 19:51:52 1676

原创 软件开发篇1:MFC、MVC、中间件、python与C++主从通信

注一:1. 科研 = 数学 + 简化,深入浅出。聚焦理论分析与算法创新,工程性设计简化,浅尝辄止。2. 软件构架的本质手法理解是封装和分层。注二: 现在来了一个项目,你可以直接打开VS,然后就开工敲代码吗?不行,你得先创建项目,当使用了分层架构,还需要创建更多的项目,这些项目根据依赖关系进行配置。然后依次引用各种依赖组件进行配置。配置好项目以后,你还得测试各依赖组...

2020-01-11 19:08:36 3738 3

转载 reward、loss、cost

1、损失函数、代价函数、目标函数损失函数(Loss Function):单个样本的误差/ 所有样本的平均误差。(存在混用)代价函数、成本函数(Cost Function):训练集所有样本的平均误差,损失函数均值。可以加入正则化项。目标函数(Object Function):问题需要优化的函数,比较广泛。我们研究问题一般是先确定一个“目标函数”,然后去优化它。 比如强化...

2019-12-19 20:10:06 2866

原创 [systemverilog] 如何控制transaction中约束集的开关

【代码】[systemverilog] 如何控制transaction中约束集的开关。

2024-05-14 10:22:43 148

原创 芯片的性能指什么

芯片(或微处理器、集成电路)的性能主要指其完成特定任务的能力和效率。性能可以通过多种参数来衡量,这些参数反映了芯片设计的不同方面,包括但不限于计算速度、功耗、面积和成本等。

2024-05-14 10:18:28 390

原创 芯片设计逻辑中有大量累加,会造成高时延吗。如果将大量累加换成乘法,可以降低时延吗,对芯片面积有什么影响?

是否用乘法替换累加操作以减少时延,需要根据具体情况仔细考虑。这种优化可能在某些情况下有效,尤其是当累加操作数显著多于乘法操作数时。然而,这种方法的成功应用也取决于其他因素,如设计的功耗预算、芯片面积限制以及对性能的具体要求。,其中N是一个常数。如果每次计算N*value都使用一连串的加法操作(),对于大量的迭代,这可能会导致很高的时延。将这些操作改为乘法可能会在减少时延方面更有效,尽管乘法器在硬件资源(面积)和功耗方面的代价更高。因此,需要根据算法的性能和资源需求在加法和乘法之间做出权衡选择。

2024-05-14 10:13:53 237

原创 uvm_reg_data_t类型位宽

uvm_reg_data_t是一个typedef的bit流,其位宽有一个UVM_REG_DATA_WIDTH的宏决定,默认情况下该宏为64,即默认一个value的长度为64位。是256位了。

2022-05-24 15:25:39 1915

原创 this.randomize()?

今天看到一个随机化的用法this.randomize(),学习一下。1、randomize的一般用法在上层对下层实例进行随机化。比如,在vseq中,seq.randomize();比如,在seq中,trans.randomize();2、this指针,指向实例本身“实例本身”其实不太好理解,因为类在例化前就是一个class,例化后this指针生效。比如,调用一个对象的方法,方法成员跟对象成员同名,用this指明是对象成员。3、this.randomize在当前层次指定对象

2022-05-24 12:52:42 732

原创 c语言-数组指针的用法

注:windows下的long类型为4字节(跟采用32位gcc或64位gcc无关),跟int相同。unix下long类型为8字节。所以long类型的相关程序不能在vs软件中测试。注:长度为8字节的十六机制用%lx,十进制用%ld。用x相当于截取了4字节。每次取一个一个地址的数,如果该地址的数一次取不完,下次继续取。两种形式其实是一样的,先用a[],b[]往存储器按地址写数。然后定义数组,数组的首个元素指向存储数据的首地址。按数组类型的长度,从存储器取数,map到数组[0]-[2]各个元素

2022-04-18 18:01:07 1089

转载 clock gate cell 时钟门控单元

1、结构图(锁存器,与门,D触发器)latch或reg:用于同步使能信号,防止出现亚稳态和毛刺。与门:使能信号无效时,关断模块输入时钟。D触发器:简化的reg。2、为什么需要clk gate?模块不工作时,clk翻转浪费功耗。模块/reg相当于一个DFF,但是en为高时,模块才工作,clk才有效。不工作时,clk翻转浪费功耗。3、如何clk gate?将一直翻转的时钟变为en有效才翻转的时钟,即将CLK转化为CLK_G。4、基于与门的clk gate(会有右图所示问题

2021-09-21 18:23:42 6067

原创 systemverilog:重载

参考文献:http://blog.sina.com.cn/s/blog_5df550ff0102v5y6.html

2021-06-11 16:38:56 873

原创 `uvm_do系列宏

一、`uvm_do系列宏包括:`uvm_do `uvm_do_pri `uvm_do_with `

2021-06-11 01:02:31 1617

原创 研究背景与意义

举例题目:基于a技术的b电池片缺陷检测整体层次:领域分析:电池片应用面很大,但使用过程中容易出现缺陷。人工检验缺陷工作量大。 技术分析:a类技术优点,b缺陷难点,a技术好处。内在逻辑:概念由大到小一个一个介绍:电池片、电池片缺陷检测、a类技术电池片缺陷检测、a技术电池片缺陷检测。论述格式:x领域/技术有什么前景,存在什么问题,解决了有什么好处。...

2021-04-06 22:33:12 359

原创 questasim仿真优化加速问题(object无信号,无法看波形,(vsim-12110) All optimizations are disabled,error loading design)

参考文献:Questasim仿真看不到波形的问题https://blog.csdn.net/heavywater/article/details/3244818

2021-03-26 21:10:14 9385 3

原创 Vsim选项整理、questasim自动化仿真控制文件书写

vsim指令 载入一个设计到仿真器。1、vsim语句格式:Vsim [option] +TESTNAME=[test] [work.实体名] 2、常用选项:# 常用-c # 使vsim运行在命令行模式,不启动图形界面,节省时间-novopt # 不采用vopt优化,常和+acc配合使用-l <logfile> # 设置输出仿真的log文件-do "cmd" # 开始仿真后运行tcl脚本文件,如运行cmd# 其它-L # 开始仿真后运行tcl脚本文件,...

2021-03-26 19:54:19 8514

原创 Linux权限命令sudo和chmod

一、linux访问权限linux中不同用户有不同权限,用户有三种类型:文件所有者、群组用户、其他用户。(还有最高权限用户root)chmod 777 /etc/demo # 将demo目录的权限改为777(可读可写可执行)其中,777对应上述三种用户的权限和为7,7,7。权限 权限值 作用 r-read 4 读取浏览目录下文件内容 w-write 2 修改目录下文件内容 x-excute 1 执行目录下文件 二、权限管理命.

2021-03-24 21:56:44 7739

原创 shell篇二:环境变量PATH,shell配置,shell脚本语言

1、linux下应用程序的启动linux下可以输入应用程序名来启动一个应用程序,程序可以在前台或后台打开,可以在打开时增加一些参数。如果应用程序目录没有在PATH变量目录里,无法只通过程序名启动,需要输入应用程序完整路径。参考文献:使用find或者location命令来定位文件https://jingyan.baidu.com/article/455a9950efc6e9e067277807.html2、bash配置文件.bashrcalias别名:alias xx="xxxxxxx".

2021-03-23 22:15:43 2679 1

原创 shell篇一:终端、命令行、shell、shell脚本、batch脚本概念区分

终端:硬件,人机交互输入输出设备。终端模拟器:用CLI或GUI程序模拟传统终端设备。命令行界面:软件,字符用户界面。主机:硬件,运算处理。shell:软件,对内核的程序输入和解释/执行器。shell与shell脚本:通过命令行输入程序并执行叫shell。而通过程序文件输入程序并执行,这个程序文件叫shell脚本。batch脚本与shell脚本:windows脚本与linux脚本。...

2021-03-23 17:01:56 1218

原创 linux下questasim/modelsim的文本编辑器的字体非常小

版本信息:Ubuntu16.04网上主要由三种方法,1、修改.modelsim文件的PrefDefault = ... textFontV2 {Verdana 12}这条配置。(我这边没有这条配置)2、通过xrandr命令和设置appearance下修改字体。(尝试无效)3、questasim-tool-edit preference-textfront。(单独此条无效)但是,还是修改好了,如下图。...

2021-03-07 10:34:00 3731

原创 Debian发行版下的包管理(dpkg与apt的区别,PPA)

一、包管理工具1、包管理工具:linux操作系统下,完成软件包的安装、更新和移除。2、在Debian的linux发行版本下,采用dpkg和apt两种包管理工具。3、dpkg与apt工具的区别dpkg:安装本地包,不解决依赖关系,不关系仓库内的软件。apt:通过仓库获取软件包资源,解决依赖关系,不能安装本地包。区别:dpkg绕过apt包数据库直接对软件包操作。所以dpkg安装过的软件,可以采用apt工具再次安装并覆盖dpkg的安装。二、dpkg工具dpkg -i soft

2021-03-04 20:09:07 1783 1

原创 GVIM安装与使用

第三课核心:gvim1、gvim是一个文本编辑器,相当于windows下文本文档。2、配置gvim界面gvim ~/.vimrc3、打开或新建一个文件gvim file.txt4、gvim三种工作模式:命令模式(默认,左下角啥也没有,不能编辑,按i编辑insert,按esc回到命令模式),输入模式,底行命令模式。...

2021-03-04 16:56:23 3840 2

原创 秋招小结

一、概念积累1、融资:筹款,助力公司发展。2、常用融资模板(创业公司 idea 到 上市):A轮:证实模式;B轮:发展、复制模式;C轮:形成规模,成为行业龙头,达到上市要求。3、投资人:融资给钱一方。4、VC:风险投资5、股份稀释:因融资交给投资人的股份比例。6、溢价:每一轮融资的估值都在前一轮的基础上往上翻番。 贱卖:业绩没有起来,急需要投资,在谈判桌上没有分量,导致估值低于上一轮。7、融资带来的股权重新分配8、天使投资与vc9、上市:可...

2021-01-03 15:57:57 129

原创 Perl脚本语言(二)

一、数据类型与操作符1、数据类型($a):数字类型(默认为0)字符串类型(默认为空)。变量使用前不需要声明和初始化。$b = "Hello world!I'm perl$a!";print $b;2、界限符:qq()相当于双引号,q()相当于单引号。(括号可以换位其它非数字字母符号)$b = qq(Hello world!I'm perl$a!\n);print $b;$b = qq/Hello world!I'm perl$a!/;print $b;3、并值运算符.合并

2020-10-28 12:40:42 542

原创 Perl脚本语言(一)

一、简介1、全称:Practical Extraction and Report Language(实用报表提取语言)2、特点:快速开发,使用最广泛的脚本语言。3、报表:二、特性1、无需声明,不区分整形和字符串。2、形式自由3、是一种解释性语言,Perl解释器直接对源代码程序解释执行。三、应用场合1、文本处理2、Web网站3、数据库处理4、邮件处理和FTp功能自动化5、作图https://haokan.baidu.com/v?vid=117839987

2020-10-26 19:23:11 1945

原创 论文摘要(一):Reinforcement Learning on Variable Impedance Controller for High-Precision Robotic Assembly

原文:[1]Jianlan Luo, Eugen Solowjow, Chengtao Wen, “Reinforcement Learning on Variable Impedance Controller for High-Precision Robotic Assembly,”2019 International Conference on Robotics and Automation (ICRA),3080-3087.参考链接:主编推荐 | 基于模型的强化学习—LQR与iLQR.

2020-10-10 16:58:47 349

转载 机器人SCI期刊及其特点、评价、投稿之我见

【本文对象】机器人领域刚入门想查阅资料的;为投稿而头疼,想了解机器人方面SCI期刊特点的;想拍板砖的。【初衷】最近看到有几个帖子问这方面的问题(如http://muchong.com/bbs/viewthread.php?tid=4491688),而小虫了一把,发现几乎都是2007年的SCI库的。高压之余,闲得蛋疼,于是。。。希望对虫友有那么丁点帮助。【现状】虫友sesame_oil 在帖http://muchong.com/bbs/viewthread.php?tid=4445763的回复中已经列..

2020-10-08 20:41:07 2563 1

转载 SCI 投稿Cover letter模板大全

转载自:http://blog.sina.com.cn/s/blog_6f1ece2b0102vem0.html NoteExpress的博客大凡投过稿件的都知道Cover letter是个啥?如果拿找工作打比方,cover letter就是你的求职信,我还记得硕士毕业那会去找工作,在学校里我发现自己就是个无关痛痒的小罗罗,我很伤心,当我去找工作时发现伤心都是没有必要的,出了校门更没有人屌,那么多毕业生,招聘人员说来来来,你们的简历都放在这里,好了,你可以走了。偶尔有几个对我表现出些许的兴趣,跟我讲..

2020-07-10 12:10:36 4448

转载 什么是综合(硬件)

1、综合:是将RTL级电路( 由硬件语言描述的电路)转换到门级电路的过程。2、综合的作用:综合是指采用软件的方法设计硬件,然后将门级电路实现和优化工作摘出来交给后续综合工具设计。综合工具设计在满足系统逻辑功能的条件下,找到逻辑网络结构门级最佳实现方案。3、综合三个阶段:准换、映射和优化。参考文献:https://www.docin.com/p-426612080.html...

2020-07-01 21:56:16 2349

原创 SystemVerilog(六):Testbench(绿皮书)

class transaction; // 4属性2方法的事务 rand bit[31:0]src,dst,data[8]; // 随机化数据,dst表目的,src表源 bit[31:0]crc; // 循环冗余校验 virtual function void calc_crc; // 基类虚方法,可以在扩展类中重写 crc=src^dst^data.xor; endf.

2020-06-23 16:29:19 2307 1

原创 pycharm编程技巧

1、ctrl + 左键:函数追根溯源,快速切换2、variable窗口进阶watch窗口:对核心逻辑的成员变量,尤其是全局变量,在不同的断点调试中保持关注。

2020-06-15 17:30:41 266

原创 python 报错:local variable ‘XXX’ referenced before assignment

a = 1def test(): a = a + 1 print(a)test()报错:UnboundLocalError: local variable 'a' referenced before assignment原因: 全局变量在函数中值被修改则变为局部变量,局部变量a在函数中未声明。a = 1def test(): print(a+1)test()输出:2a = 1def test(): global a a = a + .

2020-06-14 20:07:25 926

转载 数据类型

一、panda库panda是基于numpy的第三方库,提供两种数据类型,series和dataframe。1、series:一维数据结构,类似于数组,但强于数组2、DateFrame:表格型数据对象结构参考文献:https://www.jianshu.com/p/a90ef135c947Panda库简单总结参考文献:https://www.jianshu.com/p/6e35d37e7709Pandas DataFrame 总结参考文献:https://blog.csdn.n...

2020-06-14 12:28:33 118

原创 python 线程同步通信之event事件

1、线程间同步通信 -- threading库中的Event对象Event对象包含一个可由线程设置的信号对象(状态值),为假时事件阻塞线程,为真线程忽略事件,由假到真唤醒线程。阻塞与等待:https://www.jianshu.com/p/73352d0a14d42、Event几种方法及demoevent.isSet():返回event的状态值;event.wait():如果 event.isSet()==False将阻塞线程;event.set(): 设置event的状态值为True

2020-06-12 09:54:32 521

原创 pygame库demo

一、安装参考文献:https://www.cnblogs.com/charliedaifu/p/9938542.htmlPygame安装教程习惯安装在gym环境里二、

2020-06-11 16:16:38 567

原创 手撕sv

1、测试functionmodule hello; function void add(input int num1,num2); $display("sum is",num1+num2); endfunction initial begin $display("hello2"); add(3,4); endendmodule

2020-06-08 23:15:47 253

原创 SystemVerilog(三):coverage

1、验证的一般过程:通过技术指标设计验证计划,开展验证工作。2、覆盖率的显式隐式表示:显式通过SV环境CRT测试,覆盖率在验证后主动分析。隐式通过Directed Tests来测试,覆盖率可以自己得出。...

2020-06-04 22:30:51 1369

转载 SystemVerilog(四):EDA工具modelsim

一、FPGA设计验证包括功能仿真、时序仿真和电路仿真。功能仿真指仅对逻辑功能进行模拟测试,以了解其实现的功能是否满足原设计的要求。 仿真过程没有加入时序信息, 不涉及具体器件的硬件特性,如延时特性等。时序仿真是在HDL满足功能要求的基础上,在布局布线后,提取有关的器件延迟、连线延时等时序参数信息,并在此基础上进行仿真,是接近于器件真实运行状态的一种仿真。以上两种仿真,modelsim都可以完成。仿真流程:创建工程、编写源代码、编译、启动仿真器、运行仿真。二、仿真测试文件(Test Ben

2020-06-02 20:43:10 2982

原创 SystemVerilog(一):任务和函数

一、任务和函数时延语句:# 10 阻塞语句:@、wait函数:不能使用时延语句和阻塞语句, 大部分不能调用任务。void函数可以被任何任务、函数调用。子程序:任务和函数都是两种用来定义子程序的 方式。若消耗仿真时间,使用任务。若不消耗仿真时间,使用函数。sv给任务和函数增加了新的语义特性,他们对高级抽象建模十分重要。包括静态和自动作用域、参数传递、线程、参数化函数。二、作用域1、作用域:任务和函数局部定义的函数是静态作用域。如果多次调用函数/任务,局部变量将在多个函数执行线程中共享.

2020-05-28 10:23:45 2103

51单片机实用例程

51单片机例程 串口ad键盘闹钟应用等13个例程,帮助快速掌握51

2018-05-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除