PLL锁相环倍频原理

晶振8MHz,但是处理器输入可以达到72MHz,是因为PLL锁相环提供了72MHz。

锁相环由PD(鉴相器)、LP(滤波器)、VCO(压控振荡器)组成。
在这里插入图片描述
处理器获得的72MHz并非晶振提供,而是锁相环的VCO(压控振荡器)提供。
VCO自身可以直接输出随着电压变化而变化的频率,其频率可以达到非常高(G赫兹),但是自身是开环不稳定。
晶振的频率不是用来倍频提供给处理器,而是用作PLL的参考频率。VCO产生超高频率后进行分频,再与晶振频率进行比较,其误差闭环传递给VCO,VCO调节频率(可以用PID调节)到处理器需要的频率。
外部看到的PLL倍频数,比如8MHz倍频9倍到72MHz,实际上是PLL中的VCO分频到8MHz与晶振参考频率比较。所以严格来说,并不是晶振频率倍频了9倍,而是PLL中的VCO生成了一个闭环可控的高频频率在数值上与晶振频率是9倍关系。

  • 4
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
IP(Intellectual Property)即知识产权。美国 Dataquest 咨询公司将半导体产业的 IP 定 义为“用于 ASIC 或 FPGA 中的预先设计好的电路功能模块”。简而言之,这里的 IP 即电 路功能模块。 IP 核在数字电路中常用于比较复杂的功能模块(如 FIFO、 RAM、 FIR 滤波 器、 SDRAM 控制器、 PCIE 接口等)设计成参数可修改的模块,让其他用户可以直接调用 这些模块。随着设计规模增大,复杂度提高,使用 IP 核可以提高开发效率,减少设计和调 试时间,加速开发进程,降低开发成本,是业界的发展趋势。利用 IP 核设计电子系统,引 用方便,修改基本元件的功能容易。具有复杂功能和商业价值的 IP 核一般具有知识产权, 尽管 IP 核的市场活动还不规范,但是仍有许多集成电路设计公司从事 IP 核的设计、开发 和营销工作。 IP 核有三种不同的存在形式: HDL 语言形式,网表形式、版图形式。分别对应我们常 说的三类 IP 内核:软核、固核和硬核。这种分类主要依据产品交付的方式,而这三种 IP 内核实现方法也各具特色。 PLL(Phase Locked Loop,即锁相环)是最常用的 IP 核之一,其性能强大,可以对输 入到 FPGA 的时钟信号进行任意分频、倍频、相位调整、占空比调整,从而输出一个期望 时钟,实际上,即使不想改变输入到 FPGA 时钟的任何参数,也常常会使用 PLL,因为经 过 PLL 后的时钟在抖动(Jitter)方面的性能更好一些。 Altera 中的 PLL 是模拟锁相环,和 数字锁相环不同的是模拟锁相环的优点是输出的稳定度高、相位连续可调、延时连续可 调;缺点是当温度过高或者电磁辐射过强时会失锁(普通环境下不考虑该问题)。
PLL锁相环是一种用于将输入频率放大到所需频率的结构。它由多个部分组成,包括相位移器和分频器。相位移器可以产生不同相位的方波,通过排列组合形成所需的输出频率。分频器则可以将输入频率进行倍频。\[1\]\[2\]\[3\] 在PLL中,信号发生的过程是通过调整相位和频率来实现的。相位移器和分频器的组合可以实现对输入信号的相位和频率进行调整,从而实现所需的输出信号。具体的调整过程可以通过调节相位移器和分频器的参数来实现。\[1\]\[2\]\[3\] 总的来说,PLL锁相环是一种重要的电路结构,可以实现对输入信号的放大和调整,以满足特定的需求。 #### 引用[.reference_title] - *1* *2* [PLL锁相环知识](https://blog.csdn.net/luwb520/article/details/127805335)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [PLL锁相环](https://blog.csdn.net/qq_51178391/article/details/120750443)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

朴人

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值