#systemverilog# 关于随机约束之 数组类型数据

前言:在验证工作中,数组是我们最常用的数据结构之一了,那么如何对数组元素做约束呢?本文将给出一些实战的例子。除此外,之前介绍过的unique约束(Link)也可以产生具有唯一元素的数组。

一、动态数组大小的约束

最容易理解的数组约束函数是size(),他可以约束动态数组或者队列的元素个数。

class dynamic_size_array();
 
        rand  logic [31:0]   dyn_array[];
        constraint cst_dyn_array {
               dyn_array.size()  inside  { [1:10] };
        }
 
endclass

二、数组元素求和的约束     

parameter    MAX_TRANSACTION_LEN = 10;
 
class strobe_pat();
 
  rand bit    strobe[MAX_TRANSACTION_LEN];

  constraint cst_set_length {
          strobe.sum() == 4'h4; //  固定数组的求和约束
  }
 
endclass

三、数组中的每一个元素约束

SystemVerilog可以用foreach对数组的每一个元素进行约束。和直接写出的对固定大小的数组的每一个元素的约束相比,使用foreach要更简洁。

比较实际的做法就是使用foreach约束动态数组和队列

(1)简单的数组约束

class good_sum;
 
  rand uint  len[]; // 声明可随机化的动
  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值