使用Verilog实现FFT (付完整注释 可直接运行的源码 !)

该博客介绍了如何使用Verilog实现FFT,包括Butterfly运算和四点FFT/IFFT,提供了完整注释的源码,并在iverilog和Modelsim下通过了仿真。内容还包括C语言实现的旋转因子生成,以及如何使用iverilog进行基本的时序仿真。
摘要由CSDN通过智能技术生成

使用Verilog实现FFT

代码有参考学习自菜鸟教程的文章<Verilog 教程 7.5 Verilog FFT 设计>

原地址:https://www.runoob.com/w3cnote/verilog-fft.html

github仓库:https://github.com/woodecode/fft_verilog


script/project 使用C实现了生成旋转因子的代码。

目前实现了可通用的Butterfly和四点的FFT/IFFT,代码也配有非常完整的注释,方便理解。

非常适合入门verilog练手。😝

本工程使用iverlog和modelsim通过了仿真,


蝶形运算

蝶形运算模块将运算分成了三级,过程如下

在这里插入图片描述


推荐:如果你只是想检查Verilog文件的语法是否有错误,然后进行一些基本的时序仿真,iverilog 是一个不错的选择。相比于各大FPGA厂商的IDE几个G的大小,iverilog 极其小巧,并且支持全平台:Windows + Linux + MacOS 。下面这篇帖子介绍了如何使用Icarus Verilog来进行verilog文件的编译和仿真。非常简单轻便😁。

地址:https://zhuanlan.zhihu.com/p/95081329


至于如何使用iverilog+gtkwave仿真本工程,可参照下面的过程.

第一步:这个命令会将Verilog文件butterfly.v、ifft4.v和ifft4_tb.v编译,并生成一个名为test_ifft4的可执行文件。

iverilog -o test_ifft4 ..\butterfly.v ..\ifft4.v ..\ifft4_tb.v

第二步:这个命令会运行ifft4模块的testbench。

vvp test_ifft4

第三步:这个命令会打开波形查看器,以可视化保存在VCD文件wave_ifft4_tb.vcd中的仿真结果。

gtkwave wave_ifft4_tb.vcd

cd中的仿真结果。

gtkwave wave_ifft4_tb.vcd

butterfly.v


module Butterfly #(
	parameter DATA_WIDTH = 4,// 默认位宽为
    parameter EXPAND = 9 // 默认将旋转因子扩大1<<9=512倍
)(
    input wire clk,
    input wire rst_n,
    input wire en,

    // Input 1 and Input 2
    input wire signed [DATA_WIDTH-1:0] in1_real,
    input wire signed [DATA_WIDTH-1:0] in1_imag,
    input wire signed [DATA_WIDTH-1:0] in2_real,
    input wire signed [DATA_WIDTH-1:0] in2_imag,
    // rotation factor
    // 多出的1位用于存放符号位
    input wire signed [EXPAND+1:0] ro_real,
    input wire signed [EXPAND+1:0] ro_imag,
    // Output 1 and Output 2
    // 输出要比输入多富余出一个位宽
    output wire signed [DATA_WIDTH:0] out1_real,
    output wire signed [DATA_WIDTH:0] out1_imag,
    output wire signed [DATA_WIDTH:0] out2_real,
    output wire signed [DATA_WIDTH:0] out2_imag,
    // 
    output wire valid

);
    //因为有3级流水线,故为每一级流水线计算富余出一个位宽,确保精度
	localparam PRECISION = 3;

	// localparam EXPAND = 13;
	
    // initial begin
    //     valid <= 'b0;
    // end


	reg [4:0] en_r;
	
    // 每次将en的值保存到en_r寄存器的最低位,
    // 保存en的值用于判断当流水线计算完毕输出时的数据是否是在en置位时的输入的,
	// 这样是为了判断输出是否合法.
    always @(posedge clk or negedge rst_n) begin
        if (!rst_n) begin
            en_r <= 'b0;
        end else begin
            en_r <= {en_r[3:0], en};// 保存en的值到en_r寄存器的最低位
        end
    end

    /*
     * 整个过程分为三级计算.
     * 
     * 第一步当然是要先计算x2 和 ro 的乘积的系数.
     * 假设x2 = x2_r + i * x2_i;ro = ro_r + i * ro_i;
     * 假设这两个虚数计算的结果是 rod = rod_r + i * rod_i;
     * 计算过程如下:
     * rod = x2 * ro;
     * 即 rod = (x2_r + i * x2_i) * (ro_r + i * ro_i);
     * 整理后 rod = (x2_r * ro_r - x2_i * ro_i) + i * (x2_r * ro_i + x2_i * ro_r);
     * 
     * 第一级就是 在给定x2和ro(旋转因子)后,通过上式计算四个乘积的结果;
     * 
     * 第二级就是 将这四个乘积结果进行加减组合后得出rod = rod_r + i * rod_i的过程.具体过程如下:
     * rod_r = x2_r * ro_r - x2_i * ro_i;
     * rod_i = x2_r * ro_i + x2_i * ro_r;
     * 
     * 第三级则是 将x1和rod进行加减计算的过程.假设结果是y1和y2,具体过程如下:
     
  • 5
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
Verilog是一种硬件描述语言,用于设计和模拟数字电路。FFT(快速傅里叶变换)是一种常用的信号处理算法,用于将时域信号转换为频域信号。在Verilog实现FFT需要使用适当的模块和信号处理算法。 根据引用\[1\]的描述,通过使用Matlab对Verilog实现FFT过程进行模拟,发现其结果与Verilog实现FFT结果基本一致。这表明Verilog实现FFT过程在模拟中得到了验证。 根据引用\[2\]和引用\[3\]的代码片段,可以看出Verilog实现FFT过程涉及到内存读写和数据传输。其中,写地址总线(mem_wr_addr)用于指定要写入的内存地址,读数据总线(rd_data)用于接收从内存中读取的数据。在读取数据时,将读取的数据存储到寄存器组(regbankone)中。 综上所述,Verilog实现FFT过程涉及到内存读写和数据传输,通过适当的模块和信号处理算法,可以实现将时域信号转换为频域信号的功能。 #### 引用[.reference_title] - *1* [verilog实现FFT的代码(需要请自取)](https://blog.csdn.net/weixin_47032674/article/details/112768697)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [基于VerilogFFT模块实现](https://blog.csdn.net/ccsss22/article/details/122889849)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值